Actualité : One Piece saison 3 : Netflix a dévoilé qui incarnera ce personnage adoré des fans


Calendrier Web, regroupant des événements liés au Libre (logiciel, salon, atelier, install party, conférence), annoncés par leurs organisateurs. Voici un récapitulatif de la semaine à venir. Le détail de chacun de ces 50 événements (France: 49, Québec: 2, Internet: 1) est en seconde partie de dépêche.
Tous les lundis de 10h à 17h sans interruption, l’association Prends toi en main / atelier abcpc, propose install party, suivi, dépannage, formation et revalorisation à petit prix sous Linux exclusivement.
L’atelier abcpc existe depuis plus de 10 ans et milite exclusivement pour les logiciels libres.
Venez nous rencontrer le premier lundi de chaque mois jusqu’en juillet. Nous vous attendons pour vous aider à utiliser nos services libres en ligne. Pour vous expliquer comment utiliser notre nuage Nextcloud et ses applications.
Nous vous attendons aussi si vous voulez contribuer, modestement ou plus largement à notre déploiement sur le bassin annécien.
L’atelier de novembre du groupe local OpenStreetMap de Grenoble sera consacré à Panoramax, GoPro, RTK et la thématique plein air.
Informations pratiques
Inscription souhaitée sur ce formulaire.
3-5 esplanade Andry Farcy, Grenoble, Auvergne-Rhône-Alpes, France
openstreetmap, osm, osm-grenoble, panoramax, rencontre, atelier, atelier
Un ordinateur qui rame, qui refuse de démarrer ou qui est cassé, venez le réparer en notre compagnie.
Marre de Windows et envie d’un peu de liberté, venez le libérer!
L’émission Libre à vous! de l’April est diffusée chaque mardi de 15 h 30 à 17 h sur radio Cause Commune sur la bande FM en région parisienne (93.1) et sur le site web de la radio.
Le podcast de l’émission, les podcasts par sujets traités et les références citées sont disponibles dès que possible sur le site consacré à l’émission, quelques jours après l’émission en général.
Les ambitions de l’émission Libre à vous!
Découvrez les enjeux et l’actualité du logiciel libre, des musiques sous licences libres, et prenez le contrôle de vos libertés informatiques.
Donner à chacun et chacune, de manière simple et accessible, les clefs pour comprendre les enjeux mais aussi proposer des moyens d’action, tels sont les objectifs de cette émission hebdomadaire.
L’émission dispose:
Radio Cause Commune, Radio Cause Commune, Internet
Rendez-vous hebdomadaire le mardi à partir de 18h00
La permanence d’ADeTI est un moment d’accueil avec des bénévoles pour apprendre à utiliser un ordinateur sous GNU/Linux (Ubuntu, Linux Mint, Debian…) mais aussi:
Mais c’est aussi un moment consacré pour:
Nous accueillons également des membres de l’association ALFA-Net et A-Hébergement qui peuvent répondre aux questions concernant Internet, les réseaux et l’hébergement: connexion à Internet, alternatives aux “Box” et aux opérateurs/FAI commerciaux, Neutralité du Net, Vie Privée, Blog, Site Internet/Web…
L’Association Club Linux Nord Pas-de-Calais est présent tous les premiers mardis du mois aux Petites Cantines, à Croix.
Au cours de ces séances, nous vous proposons d’installer le système d’exploitation libre Linux et/ou les logiciels libres que vous utilisez sur votre ordinateur.
Si votre ordinateur est récent et que vous vous voulez vous donner les moyens de maîtriser les informations qui y entrent et en sortent, ou si votre ordinateur devient poussif…
Pensez à nous rendre visite, c’est gratuit et on vous donnera toutes les clés pour que vous puissiez faire le choix qui vous convient 😁
Cette manifestation a lieu aux Petites Cantines de Croix. au 3 Place des Martyrs de la résistance, Croix.
Local de la rencontre: École de Technologie Supérieure A-13??
Rencontre virtuelle: https://bbb3.services-conseils-linux.org/Linux-Meetup
17:30 à 19:00 – 5 à 7 virtuel et en présentiel
Rejoignez-nous pour un moment de détente et de convivialité lors de notre 5 à 7. Que vous préfériez nous retrouver au Resto-Pub 100 Génies de l’ÉTS ou en ligne sur BigBlueButton (BBB), l’essentiel est de partager un moment agréable. Si vous avez l’intention de venir en personne, veuillez nous en informer afin de pouvoir réserver suffisamment de place pour vous.
18:30 à 19:00 – Installation et tests de l’environnement hybride (tests de son et vidéo)
19:00 à 21:30 – Programmation de la rencontre
Extras
Que vous soyez débutant ou expert, étudiant ou professionnel, cette réunion est ouverte à tous. Elle réunit une diversité de personnes, allant des gestionnaires aux programmeurs, des professeurs aux retraités, unissant ainsi des esprits passionnés par les logiciels libres, quel que soit votre domaine d’expertise.
Rejoignez-nous pour cette opportunité exceptionnelle de socialiser, d’apprendre, et de tisser des liens avec d’autres passionnés. Ensemble, nous pouvons approfondir notre compréhension des logiciels libres et contribuer à une communauté dynamique.
La participation est gratuite, et nous avons hâte de vous rencontrer, que ce soit en personne ou en ligne. Inscrivez-vous dès maintenant pour recevoir le lien de la réunion virtuelle, et pensez à nous informer si vous prévoyez de vous joindre à nous au Resto-Pub 100 Génies de l’ÉTS.
Au plaisir de partager cette soirée exceptionnelle avec vous!
Cordialement,
Martial
P.S.: Pour le transport en commun : Station de métro Bonaventure
Assistance technique et démonstration concernant les logiciels libres.
Il est préférable de réserver votre place à contact (at) linuxmaine (point) org
Planning des réservations consultableici.
Des ateliers numériques pour:
analyser vos ordinateurs
sauvegarder vos données personnelles
installer le nouveau système d exploitation (vous choisissez la distribution Linux qui vous convient le mieux)
installer des logiciels libres
apprendre à utiliser les logiciels
Une foire aux questions numériques pour vous aider dans vos petits ou gros problèmes numériques du quotidien, et découvrir des alternatives libres aux logiciels dominants et privateurs !
Et ce sera également l’occasion si votre ordinateur vous a annoncé qu’il n’aurait plus de mises à jour en octobre, et que passer à la dernière version de Windows n’est pas envisageable pour lui, d’installer le système d’exploitation Linux Mint qui redonnera une seconde vie à votre PC et vous permettra d’accéder aux dernières versions des logiciels usuels (Firefox et LibreOffice entre autres). (N’oubliez pas de sauvegarder vos données sur un support externe avant l’atelier).
Tu as toujours rêvé de créer ton propre jeu vidéo ? Cet atelier est fait pour toi ! Viens apprendre à concevoir un jeu de A à Z: de l’idée de départ à la programmation, en passant par la création des personnages et des décors. Avec Scratch, rien de plus simple et amusant !
Le thème du jour est Dino Run.
Viens bidouiller, apprendre et partager autour d’un goûter !
Une fois par mois, on se retrouve pour parler de nos usages du
numérique, bricoler et s’entraider.
Peu importe ton niveau : à l’aise ou perdu.e sur les outils numériques,
viens avec ton ordi, ton smartphone ou juste tes questions.
On pourra par exemple et selon les besoins apprendre ensemble à :
• Paramétrer la confidentialité de ses applications
• Dégoogliser son smartphone
• Découvrir des logiciels libres alternatives aux outils Google
• Installer/configurer Linux sur son ordinateur, sécuriser son
Windows ou MacOS,
• Apprendre à utiliser des messageries chiffrées
• Être plus anonyme sur internet avec Tor, Tails…
• Configurer ses réseaux sociaux
• Choisir et organiser ses mots de passes
• Réparer son ordi
• Dessiner et imprimer des stickers
• Protéger ses données du capitalisme de surveillances
• Discuter des impacts des technologies au niveau social,
psychologiques, environnementales, néocolonial, économique…
Ou juste bidouiller ensemble et discuter de tout ce qui nous passe par
la tête.
L’idée c’est de construire une pratique commune : partager nos savoirs,
trouver des solutions ensemble et apprendre les un•es des autres, sans
jugement.
Pas d’inscription : passe quand tu veux, avec ou sans ton goûter !
Accès PMR
Si tu as besoin d’adaptations liées à ton handicap, n’hésite pas à nous contacter sur l’adresse mail.
Port du masque antivirus recommandé
Chaque mercredi soir, l’association propose une rencontre pour partager des connaissances, des savoir-faire, des questions autour de l’utilisation des logiciels libres, que ce soit à propos du système d’exploitation Linux, des applications libres ou des services en ligne libres.
C’est l’occasion aussi de mettre en avant l’action des associations fédératrices telles que l’April ou Framasoft, dont nous sommes adhérents et dont nous soutenons les initiatives avec grande reconnaissance.
Un ordinateur qui rame, qui refuse de démarrer ou qui est cassé, venez le réparer en notre compagnie.
Marre de Windows et envie d’un peu de liberté, venez le libérer!
💻🌱 Ton ordi rame avec Windows ? T’as entendu parler de Linux sans oser te lancer ?
Viens à une Install Party chez Emmaüs Connect à Marseille !
À partir d’octobre, on t’aide à installer Linux Mint sur ton ordi, gratuitement, dans la bonne humeur et entouré·e de bénévoles super cool 😎
Tu repars avec un PC rafraîchi… et zéro centime dépensé 💸
🎯 C’est pour toi si:
📍 Où ? 11 cours Franklin Roosevelt, Marseille 1ᵉʳ
📆 Quand ? Réunion d’information le 6/11 à 18h, Install'Party le 15/11 à 10h
Inscription par mail à marseille@emmaus-connect.org
Rejoins-nous pour une expérience libre, écolo et solidaire !
La rencontre mensuelle des contributeurs habitants Montrouge et alentours aura lieu le jeudi 6 novembre 2025 au Schmilblickà partir de 19h.
Ce bar solidaire est situé au 94 avenue Henri Ginoux (station Vélib juste en face, bus 68 et 128, métro 4 station « Mairie de Montrouge »).
Cette rencontre mensuelle nous permettra de discuter de nos projets de cartographie dans OpenStreetMap à Montrouge, au Sud de Paris et au-delà. Comme d’habitude, nous prenons un pot et dînons sur place pour ceux qui le souhaitent.
Comme toujours, les débutants et simples curieux sont les bienvenus.
Si vous avez des sujets à proposer, animer, ou que vous aimeriez approfondir, dites-le dans le sujet du forum https://forum.openstreetmap.fr/c/regions/ile-de-france/32
Gratuit – Inscription recommandée
Ce dernier rendez-vous mensuel propose d’accompagner les utilisateurs et utilisatrices de logiciels libres dans la résolution de leurs problèmes informatiques.
C’est l’occasion de voir comment ça marche, de demander ou de donner un coup de pouce, de découvrir ou faire découvrir une astuce, d’en savoir plus sur l’installation et l’utilisation de GNU/Linux.
Rappel: aucune installation de logiciel sous licence ne pourra être faite sans présentation de la licence et de sa preuve d’achat.
Remarque: Si vous souhaitez de l’aide sur Android ou Windows ou Mac, notez que l’ALDIL n’a pas vocation à intervenir sur ces systèmes en eux-mêmes, seulement sur les applications libres qui peuvent y être installées.
Le formulaire: https://www.aldil.org/agenda-activites/nos-activites/bidouilles/
L’adhésion à la MPT n’est pas obligatoire pour cette activité.
Salle: EPN
Slash, c’est la soirée où l’on parle technique, réseau et machinerie. De 20h à 22h, nous nous répartissons pour aborder des points précis de notre infrastructure réseau, préparons et effectuons les mises à jour, installons nos nouveaux services, etc.
Cette soirée est ouverte à tous, pour venir découvrir l’envers du décor de votre association.
Tous les vendredis après-midi, venez nous rencontrer lors de nos cafés-conseils et repairs-cafés!
Nous faisons découvrir les logiciels et systèmes libres (et gratuits !)
Plus de Télémétrie, de PC ralentis, une meilleure stabilité et sécurité,
Moins de virus et finie l’obsolescence programmée !
Cet atelier est consacré à la pratique du montage vidéo en environnement libre. En l’occurrence, il s’agira d’utiliser Kdenlive sous Gnu/Linux. L’objectif est de se familiariser avec quelques fondamentaux du montage (découpage, titrage, composition de plan, mixage son, export…) en vue de produire un petit film à usage personnel ou à déposer chez un hébergeur du web.
On peut venir avec sa propre machine ainsi équipée ou utiliser une machine d’Infini en libre service. Ce pool étant limité, cela se fera sur inscription et validation par un animateur d’Infini.
L’atelier est ouvert à tout le monde et il sera suivi d'un moment convivial autour d’un apéritif partagé.
Vous pouvez, si vous le souhaitez, venir soit pour le premier temps à 16h, soit pour le second temps à la suite, ou encore pour les deux.
Au plaisir de vous rencontrer ou de vous retrouver.
--
Le local est accessible aux personnes à mobilité réduite
Il y a des arceaux à vélo à proximité
L’arrêt de bus le plus proche est: Cosmao Prétot, ligne 2B
L’arrêt de tramway le plus proche est: Polygone
Le premier vendredi de chaque mois, l’association OISUX propose une rencontre pour partager des connaissances, des savoir-faire, des questions autour de l’utilisation des logiciels libres, que ce soit à propos du système d’exploitation Linux, des applications libres ou des services en ligne libres
C’est l’occasion aussi de mettre en avant l’action des associations fédératrices telles que l’April ou Framasoft, dont nous sommes adhérents et dont nous soutenons les initiatives avec grande reconnaissance.
L’atelier aura lieu dans les locaux de la mairie.
Le prochain Apéro mensuel Aïolibre aura lieu vendredi 7 novembre 2025 à partir de 19h à La Base Marseille.
La réunion rassemble des associations du collectif AïoLibre: Provence Linux User Group, OpenStreetMap Marseille, La Quadrature du Net, Technopolice, l’APRIL, Wikipedia Projet Les sans pagEs Méditerranée, etc.
Les participant·e·s sont invité·e·s à apporter quelque chose à grignoter. Il est probable que des pizzas seront commandées au cours de la soirée.
Il n’est PAS possible d’apporter des boissons alcoolisées.
Des boissons (vins, bières, jus, etc.) seront en vente au bar à La Base.
Attention, c’est un bar associatif, donc il faut adhérer à La Base pour consommer. Si vous ne l’avez pas encore fait, faites le en ligne avant de venir via:
https://www.helloasso.com/associations/la-base-marseille/adhesions/adhesion-a-la-base-marseille-2025-2
Voir aussi la page Mobilizon de la soirée
Venez nombreuses et nombreux et faites passer le mot, cet évènement est ouvert à tous et toutes.
Libre à vous !, l’émission de radio de l’April sur les libertés informatiques est diffusée sur la radio associative Cause Commune, la voix des possibles.
La radio propose un rendez-vous convivial chaque premier vendredi du mois à partir de 19 h 30 dans ses locaux à Paris: une soirée « radio ouverte » avec apéro participatif à la clé. Occasion de découvrir le studio et de rencontrer les personnes qui animent les émissions.
La prochaine soirée-rencontre aura lieu vendredi 7 novembre 2025 à partir de 19 h 30 au studio de la radio: 22 rue Bernard Dimey 75018 Paris. Inscription (non obligatoire, mais cela facilite l’organisation) sur le bloc-notes.
À partir de 20 h il y aura en direct une émission intitulée « Comm'un vendredi » consacrée aux coulisses de la radio. Le principe général est de réunir des animatrices et animateurs d’émissions de la radio pour parler de leurs émissions, de la radio, de leurs expériences. Mais aussi donner la parole aux auditrices et aux auditeurs.
L'OMJC organise avec l’Association Club Linux Nord Pas-de-Calais organise chaque samedi une permanence Logiciels Libres ouverte à tous, membre de l’association ou non, débutant ou expert, curieux ou passionné.
Le Centre d’Infos Jeunes a mis en place une démarche d’accompagnement des jeunes aux pratiques actuelles pour l’informatique et le numérique:
Cette rencontre a lieu sur rendez-vous, tous les samedis matin hors vacances scolaires à la Maison communale de la ferme Dupire, rue Yves Decugis à VILLENEUVE D’ASCQ
Rencontre mensuelle autour des logiciels libres, en toute simplicité.
Ces matinées seront ce que nous en ferons ensemble, selon vos attentes:
Pour tout projet qui risque de prendre un peu de temps, il est préférable de nous contacter avant.
Les débutant·e·s sont les bienvenu·e·s! Les autres aussi, bien évidemment !
REVOL, association engagée dans la promotion des logiciels libres, propose tous les samedis matin, de 9h à 12h, une permanence associative ouverte à toustes, pour se pencher sur les difficultés rencontrées par chacun·e dans son usage de l’outil numérique.
Dans le cadre de la fin de la maintenance de sécurité de windows 10, nous axons ces permanences sur le passage en toute sécurité vers des systèmes d’exploitation libres (Ubuntu, Linux Mint…). Nous proposons un accompagnement complet pour assurer une transition vers le libre la plus sereine possible.
N’hésitez pas à venir nous voir à la Maison des associations de Chaumont, en Haute-Marne. Ce sera l’occasion d’en apprendre plus sur le numérique et de découvrir comment maitriser son ordinateur pour l’utiliser en toute sécurité.
Un monde plus libre, loin des techno-fascistes ça serait 🦉 quand même, non ?
REVOL est là pour y contribuer !
CE SAMEDI LIBRE SE DÉROULERA au CENTRE DES AMANDIERS
Vérifier cette page avant de vous déplacer !
Conseils, démonstrations et interventions sur rendez-vous (mailto:contact@axul.org)…
Discussions et repas en commun…
Conseils, démonstrations et interventions sur rendez-vous (mailto:contact@axul.org)…
INFORMATIONS GÉNÉRALES:
Ces Samedis Libres sont organisés par l'Axul en collaboration avec plusieurs associations de logiciels libres des Bouches-du-Rhône.
Ils proposent des présentations générales et une aide technique pour le dépannage et la mise à jour d’ordinateurs avec des logiciels libres. Ils présentent aussi des démonstrations de matériels et de téléphones libres.
Des informations sont disponibles sur la Culture Libre (OpenStreetMap, Wikipedia…).
DÉMONSTRATIONS:
Plusieurs démonstrations sont maintenant virtualisées sous forme d'introductions
DÉMONSTRATIONS et PRÉSENTATIONS POSSIBLES (sur réservation):
Présentation de livres et de sites Internet consacrés au Libre
Démonstration d’un Fairphone, un téléphone libre et éthique
Démonstration du micro-ordinateur micro:bit conçu pour rendre l’enseignement et l’apprentissage facile et amusant
Démonstration du micro-ordinateur PyBoard co-développé avec le langage MicroPython
Démonstration du micro-ordinateur Raspberry Pi 400 un ordinateur DANS un clavier pour la découverte de l’informatique et de l’électronique
Démonstrations liées aux nouveaux programmes scolaires sur le codage
Autres démonstrations
NB: Certaines démonstrations pédagogiques sont en libre service. Les autres démonstrations seront normalement possibles toute la journée: elles seront lancées en fonction des souhaits des visiteurs et des disponibilités des spécialistes.
Adresse: Centre Social et Culturel des Amandiers, 8 allée des Amandiers, Jas-de-Bouffan (cliquer sur ce dernier lien pour avoir un plan détaillé).
Ces samedis libres et gratuits sont ouverts à toutes et à tous, débutantEs ou expertEs GNU/Linux, membres ou non d’associations du Libre. Les locaux sont au rez-de chaussée et accessibles par tous.
Entrée Libre. Tout Public.
Prochaines réunions:
Prochaines réunions de l’Axul (voir l’Agenda du Libre)
Centre Social et Culturel des Amandiers, Centre Social et Culturel des Amandiers, 8 allée des Amandiers, Jas de Bouffan, Aix-en-Provence, Provence-Alpes-Côte d’Azur, France
culture-libre, logiciel-libre, telephone-libre, gnu-linux, debian, ubuntu, e-os, samedi-libre, axul, adieu-windows
Apportez votre ordinateur
à la Maison Pour Tous des Coteaux
PC Windows® ou Apple® MacOSX®
pour y installer des logiciels libres et gratuits
samedi 8 novembre 2025 de 9h à 12h30
Atelier public & gratuit destiné: aux curieux, aux avertis, à ceux qui veulent faire des économies.
► Remplacer Microsoft Word par LibreOffice Write, Photoshop par Gimp, Outlook par Thunderbird, Google par DuckDuckGo, Gmail par déMAILnagement
► Prolonger la durée de vie de votre ordinateur
► Trouver des ordinateurs neufs ou d’occasion adaptés à vos besoins et peu onéreux
SUR INSCRIPTIONS: au 01.43.04.83.53
ou à l’accueil de la Maison Pour Tous des Coteaux
30 Route de Gournay, 93160 Noisy-le-Grand
+ de renseignements par email à franck@sinimale.fr
#adieu-windows
En présentiel ou en visio, adresse du salon: https://url.oisux.org/samedi-du-libre
Windows 10 n’est plus supporté? Votre PC s’essouffle? Donnez-lui un coup de jeune!
Installons y Linux !
La prise en charge de Windows 10 se termine le 14 octobre 2025. Microsoft veut que vous achetiez un nouvel ordinateur.
Mais que se passerait-il si vous pouviez rendre votre ordinateur actuel à nouveau rapide et sécurisé?
Si vous avez acheté votre ordinateur après 2010, il n’y a probablement aucune raison de le jeter. En installant simplement un système d’exploitation GNU / Linux récent, vous pouvez continuer à l’utiliser pour des années.
L’installation d’un système d’exploitation peut sembler difficile. Mais, vous n’avez pas à vous lancer tout seul! Il y a des personnes prêtes à vous aider !
Au programme
Après le succès des éditions précédentes, Éthiciel organise la suite des Hackathons du Libre.
N’hésitez pas à nous rejoindre !
Déroulement avec des pauses régulières pour échanger sur les encours en développement:
Samedi: 10h à 20h avec des pauses régulières pour échanger.
Dimanche: 10h à 19h avec des pauses régulières pour échanger.
Si vous êtes intéressé, envoyez un email à l’association Éthiciel avec un laïus de présentation décrivant succinctement votre parcours et les langages que vous avez explorés.
Après validation de votre candidature, vous serez intégré aux outils de communication du Collectif de Dev et DevOps.
Pour contacter l’association: contact@ethiciel.org (Laurent)
Objectif: Générateur de sites statiques et responsives
L’objectif du collectif est à terme d’orienter nos devs le plus possible vers le langage Rust qui est rapide et plus sécurisant grâce à ses nouveaux paradigmes.
Notes:
Plus d’infos sur le projet englobant ; https://ethiciel.frama.space/s/76L8tnzHHsCRfbF
L’association GUTenberg est très heureuse d’annoncer la tenue de sa Journée 2025, gratuite et ouverte à tous.
Au programme, trois exposés (dont les titres, résumés et horaires précis seront communiqués ultérieurement):
09h30-10h00 Accueil et café
10h00-11h00 Exposé « LaTeX dans le secondaire, en physique-chimie: pourquoi, comment ? »: par Wissam Sabra, contributeur de la FAQ LaTeX
Résumé: [À venir]
11h00-12h00 Exposé « Utilisation du package robust-externalize » par son concepteur Léo Colisson.
Résumé: [À venir]
12h00-14h30 Repas de groupe proposé au restaurant Mauzac (à régler individuellement)
14h30-16h00 Exposé « Édition critique avec les packages reledmac et reledpar » par leur concepteur Maïeul Rouquette (partie codage) et Enimie Rouquette (partie utilisation).
Résumé: [À venir]
16h00-17h30 et plus Moment convivial
Inscription pour participer en présentiel à la Journée
Même si la participation à la Journée est gratuite, elle nécessite pour ceux qui seront présents physiquement de s’être inscrits au plus tard le jeudi 6 novembre à 22 heures au moyen du formulaire à trouver sur la page dédiée à l’événement.
Habituellement, la série d’exposés de la Journée est suivie de l’assemblée générale (AG) de l’association mais, cette année, le conseil d’administration a décidé de la découpler de la Journée et de la faire se tenir exclusivement en ligne. Plus de détails sur la page dédiée à cette AG.
Nous espérons que nous serons nombreux à nous retrouver à l’occasion de ces deux événements !
Cet atelier convivial d’auto-réparation et d’entretien des appareils électriques, électroniques et informatiques a pour objectif de les faire durer, réduire les déchets et nous rendre plus autonomes face aux technologies.
Tu n’oses pas ouvrir ton grille-pain ou ton mixer en panne ? Ton écran de smartphone est cassé ? Ton ordinateur devient très très lent ?
À l’aide de multimètre, tournevis et d’outils informatiques libres, on s’entraide et on trouve la solution ensemble.
Cet atelier est gratuit et ouvert à tous, que tu sois un bricoleur qui souhaite aider ou que tu aies besoin d’être aidé.
Attention, tous les intervenants sont bénévoles et il n’y a aucune garantie de succès, mais nous pourrons t’orienter vers des professionnels en cas de besoin.
En attendant ce rendez-vous, tu peux consulter les fiches informatiques de l’atelier numérique des 3L: ricochets-figeac.fr
Tous les premiers samedis du mois et le vendredi après-midi qui suit.
Programme:
- Création de la Clé Ventoy multi-systèmes de stockage
- Configuration du legacy BIOS et/ou de l’UEFI (Démarrage prioritaire + réglages)
- installation de la distribution Debian-Facile sur disque dur / Clé USB et disque USB externe
- Prise en main de la distribution (Mise en place de logiciels)
Présentation de l’E2L
Quel est le rôle de l’école du logiciel libre?
Tout d’abord, ce n’est pas une école comme les autres. Elle n’a pas d’établissement fixe, pas de cours de récréation, pas de carte d’étudiant, ni de diplôme de fin d’année.
Comme toutes les écoles, son rôle est d’apprendre à ses élèves les logiciels libres, c’est-à-dire:
En fait, l’école du logiciel libre est une université populaire, comme celles qui ont vu le jour en France à partir du 19ᵉ siècle, et dont le but est de transmettre des connaissances théoriques ou pratiques à tous ceux qui le souhaitent. Et pour atteindre ce but, sa forme juridique est de type « association à but non lucratif ».
Comment fonctionne l’école?
Cette école étant une association, elle possède, comme toutes les autres, un bureau, élu chaque année en assemblée générale, pour l’administrer. Mais elle a aussi des responsables pédagogiques dont le rôle est essentiel, car ce sont eux qui établissent les programmes des cours en fonction des souhaits des adhérents, valident les candidatures des enseignants et affectent les sessions.
Les membres du bureau et les responsables pédagogiques forment « l’encadrement de l’école ». Tous les membres “encadrants” doivent être membres de l’association.
Les locaux où se déroulent les cours seront ceux que l’on veut bien nous prêter: une salle des fêtes, un théâtre, une salle de réunion publique, un amphi dans une école publique, ou autre.
Les thèmes des cours sont définis par les adhérents en fonction de leurs envies, de leurs besoins. Les cours sont ensuite décidés par les responsables pédagogiques de l’école en fonction des enseignants disponibles.
Afin de permettre au plus grand nombre de participer et d’assister aux cours, les sessions se tiennent essentiellement le samedi. Une première, sous forme d’atelier public, de 10h30 à 13h, et une autre, sous forme de cours, de 14h30 à 18h30.
Programme détaillé sur le site http://e2li.org
Le samedi 08 novembre 2025 de 13h30 à 19h00.
MJC-EVS du Cheval Blanc, 1 faubourg des Vosges, Wintzenheim, Grand Est
Leaflet | © OpenStreetMap Rencontre du Club Linux de la MJC-EVS du Cheval Blanc qui se réunit toutes les 3 semaines (environ) et accueille toutes les personnes qui souhaitent découvrir ou approfondir Linux et les Logiciels Libres. Aucune compétence n’est demandée.
Pendant ces rencontres, informelles,
Le Club Linux est également impliqué dans une démarche de libération des GAFAM (Google Apple Facebook Amazon Microsoft) et de promotion de solutions libres comme, entre autres, Wikipedia, OpenStreetMap, les Framatrucs (*), les C.H.A.T.O.N.S (*) et beaucoup d’autres.
(*): mais on vous expliquera
Dans le cadre de la fête d’anniversaire des 25 ans de la médiathèque Jules Verne, venez participer à l’enrichissement des pages de Vandœuvre, de l’encyclopédie libre et participative Wikipédia, lors d’ateliers pratiques.
Programme
Le samedi 22 novembre: rendu des ateliers lors de la fête des 25 ans.
Inscription conseillée
Tel: 03 83 54 85 53, demander Axel ou Jordan
Formulaire: fccl-vandœuvre.fr/inscription-wikipedia
Assistance technique et démonstration concernant les logiciels libres.
Attention, réservez votre place par contact (at) linuxmaine.org
Planning des réservations consultableici.
Un atelier informatique situé à Illzach, à l’Espace 110. Nous nous initions à l’univers des logiciels libres, notamment Linux, Firefox, LibreOffice…
Les 16 sessions ont lieu le samedi après-midi, généralement 2 fois par mois, hors congés scolaires.
Pour connaître les dates de nos séances, se reporter à l’onglet « Évènements ».
Les participants de tous niveaux sont encadrés par des formateurs bénévoles expérimentés et passionnés.
La cotisation annuelle à l’Espace 110 est requise, ainsi qu’une inscription à l’atelier d’un montant modique (12€ carte de membre + 18 € inscription annuelle à l’atelier en 2025-2026).
Une séance découverte est proposée avant l’Inscription.
L’association AviGNU tiendra exceptionnellement une permanence tous les samedis du mois de novembre de 14h à 16h dans les locaux d’Avenir 84.
Cela afin d’essayer de s’adapter à une certaine affluence liée au bouche-à-oreille, qui visiblement semble fonctionner:-), entre autres dans le cadre de l’opération "Adieu Windows, bonjour le Libre!".
N’hésitez pas à venir nous rencontrer pour découvrir les logiciels et systèmes d’exploitation libres… et pourquoi pas vous aussi envisager une installation!
Venez donner une nouvelle jeunesse à votre ordinateur !
En remplaçant votre système Windows® [ou Mac®] par un système plus simple, plus sûr, plus rapide, plus sobre et libre – GNU/Linux – vous pouvez donner à votre ordinateur toute son efficacité et vous serez à l’abri des virus !
La durée de vie de votre ordinateur pourra en être multipliée au moins par deux, vous permettant ainsi de réaliser de très substantielles économies et de diminuer d’autant votre impact écologique.
Lors de cette « Install partie » nous vous proposerons tout d’abord une démonstration de GNU/Linux.
Si vous amenez votre ordinateur, nous pourrons effectuer des tests pour savoir s’il est parfaitement compatible avec Linux, et si c’est le cas nous vous proposerons de procéder à son installation.
Vous pouvez aussi venir si vous avez déjà GNU/Linux et que vous avez besoin d’un complément de configuration ou des questions.
Vous pouvez également venir pour que l’on vous aide à installer /e/OS sur votre appareil Android à condition qu’il soit compatible: à vérifier avant de venir sur https://doc.e.foundation/devices(Les Fairphones sont compatibles et plus faciles à installer que les autres ordiphones).
L’installation est gratuite, vous pourrez néanmoins faire un don à notre association « Libérons nos ordis ».
IMPORTANT: veuillez lire cette page afin de venir préparé: https://blog.liberetonordi.com/index.php?post/participer-installparty
Si vous n’êtes pas en mesure d’effectuer vous-même votre SAUVEGARDE, veuillez apporter un support de sauvegarde (disque dur externe ou clé USB de capacité suffisante).
Remarque: vous pouvez même apporter un ordinateur de bureau – uniquement l’unité centrale (la tour) – nous avons des écrans, claviers et souris à brancher dessus.
VEUILLEZ VOUS INSCRIRE ICI https://calc.ouvaton.coop/InscriptionInstallPartieLinuxRouen
Venez donner une nouvelle jeunesse à votre ordinateur !
En remplaçant votre système Windows® [ou Mac®] par un système plus simple, plus sûr, plus rapide, plus sobre et libre – GNU/Linux – vous pouvez donner à votre ordinateur toute son efficacité et vous serez à l’abri des virus !
La durée de vie de votre ordinateur pourra en être multipliée au moins par deux, vous permettant ainsi de réaliser de très substantielles économies et de diminuer d’autant votre impact écologique.
Lors de cette « Install partie » nous vous proposerons tout d’abord une démonstration de GNU/Linux.
Si vous amenez votre ordinateur, nous pourrons effectuer des tests pour savoir s’il est parfaitement compatible avec Linux, et si c’est le cas nous vous proposerons de procéder à son installation.
Vous pouvez aussi venir si vous avez déjà GNU/Linux et que vous avez besoin d’un complément de configuration ou des questions.
L’installation est gratuite, vous pourrez néanmoins faire un don à notre association « Libérons nos ordis ».
IMPORTANT: veuillez lire cette page afin de venir préparé: https://blog.liberetonordi.com/index.php?post/participer-installparty
Si vous n’êtes pas en mesure d’effectuer vous-même votre SAUVEGARDE, veuillez apporter un support de sauvegarde (disque dur externe ou clé USB de capacité suffisante).
Remarque: vous pouvez même apporter un ordinateur de bureau – uniquement l’unité centrale (la tour) – nous avons des écrans, claviers et souris à brancher dessus.
VEUILLEZ VOUS INSCRIRE SUR LE TABLEAU À REMPLIR ICI: https://calc.ouvaton.coop/InscriptionInstallPartieLinuxLeHavre
Atelier GRATUIT ouvert à TOUTES et à TOUS ! pas besoin d’être adhérent !
En première partie: présentation du logiciel PAHEKO par Margaux, de l’équipe de développement de Paheko, sur les fonctionnalités du logiciel. Cette présentation en visioconférence est ouverte à tous sans inscription.
En deuxième partie: séance de questions, remarques, expérimentations, soit à distance soit en présentiel (à privilégier si possible !) est cette fois réservée aux personnes inscrites.
Inscription : via le formulaire pour la deuxième partie ; l’inscription n’est pas obligatoire pour la première partie.
Possibilité de suivre l’atelier à distance via le lien atelier-paheko
➡️👀 Ğeconomicus d’Automne !
Venez jouer le samedi 8 novembre à Ondes (entre Toulouse et Montauban).
🕗
14h30 – Accueil, mise en place du jeu
15h30 à 17h30 – Jeu
17h30 à 20h – Ğapéro convivial
✍️
Inscrivez-vous auprès de Lucas: 06 59 05 34 57 (pour obtenir l’adresse).
🚗 Transports:
- En voiture, on peut se garer dans la rue attenante.
- En transports en commun, une liaison en voiture sera assurée à la gare de Castelnau d’Estretefonds (à 5 minutes de Ondes). Voici les horaires prévus pour les liaisons:
➡️ ALLER, arrivée du train en gare de Castelnau:
- depuis Toulouse: 14h47
- depuis Montauban: 15h05
➡️ RETOUR, départ du train depuis la gare de Castelnau:
- direction Toulouse: 19h12
- direction Montauban: 18h40
📱
Pour jouer un smartphone est nécessaire*. Si vous n’en avez pas vous êtes aussi bienvenus, un smartphone pourra vous être prêté (dans la limite de 2 disponibles, merci de préciser lors de l’inscription). Ou vous pourrez suivre la partie avec un ami
🍕🧆🍹
Pour le Ğapéro pensez à amener quelque chose à boire / manger à partager !
👥
20 personnes maximum
Participation libre en Ğ1
❗️🔊
Diffusez autour de vous ! Ce jeu est une excellente manière de découvrir le sujet de manière active et ludique (les enfants sont aussi les bienvenus).
☀️
Au plaisir de vous accueillir et de partager un chaleureux moment !
——————————
Ğeconomicus, un jeu de rôle simple et dynamique dans lequel nous incarnons les individus d’une société.
La partie commence, chacun se voit doté de plusieurs cartes portant une lettre (A, B, C, etc.). Le but est d’obtenir un “carré”, 4 cartes portant une même lettre. Pour cela il faut acheter des cartes que détiennent d’autres joueurs, avec de la monnaie (fictive…). Chacun va donc acheter et vendre des cartes au fil de la partie.
→ L’intérêt du jeu est de ressentir comment les règles du système monétaire en place dans la partie influencent nos comportements en société.
- Nous jouerons une partie avec un système monétaire fonctionnant exactement comme l’euro (monnaie-dette): un des joueurs a le rôle de la banque, et pour obtenir de la monnaie il faut contracter des crédits, s’endetter.
- Nous jouerons une deuxième partie en expérimentant un système Monnaie Libre, comme la Ğ1 (“June”). Donc pas de banque mais l’instauration d’un dividende universel: un petit paquet de monnaie, le même pour tout le monde, que chacun verra apparaître au même moment sur son compte régulièrement dans la partie.
- Pour terminer, un temps de réflexion, discussion par rapport à ce qui a été vécu dans le jeu.
*un smartphone est nécessaire pour jouer. En effet le jeu se déroule comme si nous avions nos cartes en main, seulement l’interface est numérique, pour simplifier grandement la logistique du jeu et les calculs.
Permanence GNU/LINUX, installation et maintenance par LINESS en partenariat avec le CIJ (Club informatique de Juvisy-sur-Orge).
Il s’agit d’une assistance pour vous aider à installer et utiliser LINUX, mais ce n’est pas un cours à proprement parler.
Aucune inscription préalable n’est nécessaire, aucune assiduité n’est requise.
Quand vous avez un problème, vous passez nous voir.
Éventuellement stationner parc Danaux (à côté du pont sur la Seine) qui est gratuit le samedi après-midi (3mn à pied après pour aller au CIJ).
C’est tout à côté de la gare.
Linux Nantes tient à vous informer de ça prochaine permanence. Nous vous proposons:
- de vous faire découvrir Linux et les logiciels libres.
- de vous aider à installer Linux sur votre ordinateur ou votre portable,
- de vous informer sur l’utilisation de votre version de Linux et des logiciels libres.
- de voir avec vous les problèmes rencontrés.
Pour plus d’informations sur l’association voir notre site.
Quand on possède un ordi roulant encore sous Windows 10, ses jours sont comptés, car il ne recevra bientôt plus de mises à jour de sécurité. Heureusement, le samedi 8 novembre, de 10h à 16h, l’événement Répare tes Trucs aura lieu dans l’atrium de l’UQAR et Christian Aubry y sera pour proposer de tester l’installation de Linux Mint 22.2 à partir d’une clé USB sans toucher au système existant.
Si cela se présente bien, les personnes intéressées pourront terminer l’installation et repartir avec un système alternatif performant, tout neuf et pérenne sur leur machine.
L’association Terre d’ADELES et le Syndicat de quartier de France co-organisent avec l’aide de l’ABUL et d’autres associations du Libre de Gironde une journée de découverte du Libre et install-partie GNU-Linux dimanche 9 novembre 2025 de 10h à 17h à la Salle du syndicat de quartier de France : 39 rue Anatole France 33600 PESSAC
Localisation OpenStreetMap : https://www.openstreetmap.org/?mlat=44.792994&mlon=-0.669276#map=18/44.792994/-0.669276
Accès bus TBM: ligne 1 ou 24, arrêt France-Les Castors
Hello, je vous invite chez nous en Vendée près des Sables d’Olonne à un Ğmarché, Ğapéro et Ğblabla.
Nous aurons mis tout le mois d’octobre pour déménager Léo chez moi, on a plein de trucs en double alors venez vous équiper ! On sera en mode vide-grenier, vide-maison et j’ai aussi les affaires d’un ami qui a quitté la région (vêtements homme taille M, chaussures taille 43, costumes, vaisselle et bibelots Chrétiens)
Chacun est invité à amener entre une et trois propositions de biens ou services à proposer en Ğ1 ainsi que un à trois biens ou services recherchés en Ğ1, ainsi que de quoi boire et/ou manger pour partager ensemble (et pour avoir plus de joie à partager, pensez à cuisiner inclusif – végétarien, végétalien, sans lactosérum -lait de vache-, sans gluten…!).
Au plaisir de vous retrouver !
AnnaBelle et Léonard
Ğrendez-vous -à Besançon- est un événement mensuel (2ᵉ dimanches du mois) d’information, d’aide au démarrage et d’échanges en Monnaie Libre, la June (Ğ1)
l’entrée et libre et gratuite
la Monnaie Libre a été conçue par Stéphane Laborde dans son livre intitulé « Théorie Relative de la Monnaie (TRM)", et mise en œuvre le 8 mars 2017 par un collectif d’informaticiens.
depuis, elle s’est développée en France, Belgique, Espagne… 22 pays actifs à ce jour
59 comptes utilisateurs en mars 2017, plus de 30.000 comptes aujourd’hui, dont la moitié en France, normal, c’est un produit français
Commentaires : voir le flux Atom ouvrir dans le navigateur



En ce mardi 28 octobre, les utilisateurs du Projet Fedora seront ravis d'apprendre la disponibilité de la version Fedora Linux 43.
Fedora Linux est une distribution communautaire développée par le projet Fedora et sponsorisée par Red Hat, qui lui fournit des développeurs ainsi que des moyens financiers et logistiques. Fedora Linux peut être vue comme une sorte de vitrine technologique pour le monde du logiciel libre, c’est pourquoi elle est prompte à inclure des nouveautés.

L'environnement de bureau GNOME est proposé dans sa version 49. Cette version apporte comme d'habitude de nombreux changements.
Tout d'abord l'application Showtime remplace Totem en guise de lecteur vidéo par défaut. Basée sur la bibliothèque GTK4 et libadwaita, elle reprend les canons esthétiques minimalistes des applications GNOME. L'interface s’efface derrière la vidéo, n'affichant que les fonctions de base essentielles.
De même l'application pour afficher les documents notamment au format PDF passe de Evince à Papers. De la même manière que précédemment, elle utilise la nouvelle pile logicielle plus moderne, cela permet de refaire le visuel de l'application et d'améliorer dans le même temps les performances. La signature numérique des documents est aussi mieux intégrée de même que les annotations des documents.
L'application de calendrier a eu une amélioration de son accessibilité avec un focus important sur la navigation exclusive au clavier, ce qui a nécessité une refonte de l'interface. L'interface s'adapte en fonction de la taille de l'écran avec notamment la barre latérale qui peut être masquée. Il est également possible d'exporter des événements dans un fichier ICS.
Le navigateur Web bénéficie de nombreuses améliorations comme un bloqueur de publicités plus efficace avec plus de listes de blocage disponibles. La recherche dans la page est plus complète avec la prise en compte de la casse ou de la recherche de mots entiers uniquement. L'édition des marques pages, ainsi que le menu de sécurité pour la gestion des mots de passes ou des cartes de sécurité, ont été remaniés pour être plus simples.
La boutique logicielle bénéficie d'une amélioration significative de ses performances, ce qui était son principal point faible en partie à cause du volume de données à gérer pour les différentes applications disponibles provenant des Flatpak. La boutique consomme moins de mémoire, navigue plus rapidement d'une application à une autre et effectue des recherches efficacement.
La fonctionnalité de bureau distant s'améliore avec la prise en charge des entrées multipoints pour ceux utilisant un écran tactile. De plus le curseur de souris peut fonctionner avec un positionnement relatif ce qui était nécessaire pour quelques applications ou jeux vidéo. Ceux qui le souhaitent peuvent ajouter des écrans virtuels pour une telle session même s'il n'y a pas d'écrans physiques supplémentaires.
De manière plus générale, l'écran de verrouillage permet de contrôler basiquement les applications multimédia, en particulier pour la musique. Il est d'ailleurs possible d'arrêter ou de redémarrer la machine depuis cet écran de verrouillage en activant l'option idoine avec la commande gsettings set org.gnome.desktop.screensaver restart-enabled true. Le bouton Ne pas déranger passe de la zone de notifications au menu principal de GNOME pour une meilleure cohérence de l'interface.
Enfin de nouveaux fonds d'écran sont proposés afin d'exploiter les nouvelles capacités des écrans HDR et l'espace de couleur Display P3 qui sont pris en charge sur les machines compatibles. Capacités HDR qui ont été améliorées par ailleurs avec de nouveaux paramètres pour gérer finement la luminosité de ces types d'écran.
GNOME fonctionnera uniquement en tant que session Wayland, les paquets liés à GNOME pour session X11 sont supprimés. Le projet GNOME a décalé cette décision pour sa prochaine version numérotée 50, mais Fedora maintient le cap car la qualité de ces sessions n'est pas aussi bonne que Wayland faute de tests et de maintenance de la part du projet depuis de nombreuses années. Grâce à XWayland, GNOME reste en capacité d'afficher et de gérer les applications (de plus en plus rares) reposant uniquement sur X11. Cela clôt en un sens la transition vers Wayland pour GNOME entamée il y a 9 ans déjà avec Fedora 25.
Tous les Spins disposent par défaut de la nouvelle interface web d'Anaconda. Fedora Linux 42 ne l'avait proposée que pour Fedora Workstation, en partie parce que certaines options ont dû être ajoutées pour supporter les Spins. En effet, sous GNOME, une partie de la configuration est faite après l'installation avec l'application GNOME Initial Setup. Par conséquent les spins pourront en plus configurer depuis Anaconda la date et l'heure, le compte utilisateur et le nom de la machine. De même, la configuration de la disposition clavier est gérée de manière légèrement différente. Enfin, l'interface n'est pas forcément affichée par une session de Firefox mais peut l'être via le navigateur web fourni par l'environnement en question.
Sur les machines x86_64 compatibles UEFI, Anaconda ne permettra plus d'installer Fedora si le format de partitionnement est basé sur MBR au lieu de GPT. Si la norme UEFI autorise une telle configuration, en réalité sa prise en charge correcte dépend des constructeurs et n'est pas bien testée notamment par l'équipe de Fedora ce qui rend une telle configuration peu fiable. De plus, le chargeur de démarrage GRUB2 suppose déjà qu'un système UEFI implique une table de partitions GPT. Cela a été maintenu par Fedora notamment pour permettre son utilisation dans le service cloud AWS qui ne proposait que de tels systèmes à une époque. Mais cette limitation n'a plus lieu d'être et sa suppression simplifie le test et réduit le risque de crashs au moment de l'installation. Cela ne concerne pas les autres architectures comme ARM car leur implémentation repose plus souvent sur MBR et est mieux gérée.

L'installateur Anaconda utilise le gestionnaire de paquet DNF dans sa 5e version dorénavant. Cela améliore la vitesse des opérations à l'installation de Fedora et uniformise la gestion des paquets au sein de Fedora en simplifiant aussi les tests de la distribution.
Fin de support de la modularité des paquets RPM dans l'installateur Anaconda. Les modules ont disparu dans Fedora depuis Fedora Linux 39, ce changement devenait nécessaire avec la non prise en charge des modules par DNF 5 qui est utilisé maintenant par Anaconda comme expliqué précédemment. Cela améliore aussi la maintenance d'Anaconda et de sa documentation en supprimant cette spécificité qui n'est plus utilisée.
Activation de la mise à jour automatique du système pour la variante Fedora Kinoite. Grâce au système atomique, la mise à jour se fait en arrière plan et est appliquée au prochain redémarrage. La fiabilité de l'opération avec la possibilité de revenir à l'état précédent autorise une mise à jour automatique sans trop de problèmes pour simplifier la vie de l'utilisateur et améliorer la sécurité. Les mises à jour des firmware ne sont pas concernées pour des raisons de fiabilité. La mise à jour se fait par défaut de manière hebdomadaire et une notification pour inviter à redémarrer est affichée quand c'est pertinent. Cette fonctionnalité peut être coupée et la fréquence des mises à jour reste configurable.
La police d'affichage d'émojis Noto Color Emoji utilise son nouveau format basé sur COLRv1 pour améliorer le rendu. Le rendu est meilleur car les images sont vectorielles et non sous forme d'images bitmap qui sont moins jolies quand la taille d'affichage augmente. Le tout en prenant moins d'espace disque.
Le fichier initrd utilisé lors du démarrage est compressé avec zstd pour un démarrage plus rapide du système et une taille d'installation plus petite. Cela remplace la dépendance au binaire xz utilisé jusqu'alors, les tests montrent une baisse de la taille d'installation de quelques méga octets pour un temps de démarrage réduit de quelques secondes en moins.

La taille de la partition /boot augmente de 1 Gio à 2 Gio par défaut. En effet la taille des firmwares, des initrd, du noyau, etc. ne cessent d'augmenter alors que la partition est restée à 1 Gio depuis 2016. Cela permet de réduire le risque de manquer de place dans un futur proche pour les nouveaux systèmes.
Prise en charge de la technologie Intel TDX pour exécuter des machines virtuelles avec une plus grande isolation mémoire depuis Fedora Linux. Pour les machines compatibles, cela signifie que chaque machine virtuelle tourne dans un espace mémoire dédié qui est chiffré ce qui apporte des garanties de sécurité et d'intégrité des données pour le système virtualisé.
Mise à jour de Greenboot vers la réimplémentation en Rust. L'objectif de ce composant est de fournir une vérification du système au démarrage et de redémarrer vers un état précédent du système en cas de défaut constaté ce qui est possible dans un système atomique et améliore l'expérience utilisateur en cas de mise à jour défectueuse. L'utilisateur a par ailleurs la possibilité d'ajouter ses propres tests. La précédente implémentation était en Bash et ne proposait que la prise en charge des systèmes basés sur RPM OSTree. Maintenant il peut gérer les systèmes reposant sur bootc qui est de plus en plus employé.
La police de type monospace aura une police alternative de ce type par défaut en cas de manque dans une langue donnée. Jusqu'ici une police sans-serif était utilisée par défaut ce qui n'était pas idéal car les contextes d'usage sont différents et cela pouvait donner lieu à des comportement imprévisibles suivant les polices installées sur le système. Cela concerne notamment les langues suivantes : l'arabe, l'hébreu, le thaï, le perse, l'hindi, le khmer, etc.
Le gestionnaire de paquets RPM passe à la version 6.0. Mais les paquets fournis par le projet Fedora utilisent encore le format de la 4e version. Cette version se focalise sur une amélioration de sécurité, par exemple les clés OpenPGP affichent la version complète de l'id de la clé si l'empreinte numérique n'existe pas. Il est possible de fournir plusieurs signatures à un même paquet permettant d'utiliser des clés différentes suivant la provenance et les objectifs. La construction du paquet peut générer automatiquement la signature ce qui est intéressant dans le cadre de l'empaquetage local. Les clés OpenPGP version 6 sont prises en charge de même que les algorithmes dits post quantiques à base de courbes elliptiques. Il est également possible d'utiliser Sequoia-sq au lieu de GnuPG. Les paquets générés avec la 3e version ne sont cependant plus exploitables.
Réduction du nombre de règles SELinux dontaudit liés au type unlabeled_t. L'objectif de ces règles c'est souvent de réduire le nombre de rapports d'accès anormaux qui sont des faux positifs ou des accès bénins effectués par des applications mal écrites. Cette avalanche de notifications serait contre productive mais cacher ces accès sous le tapis ne permet pas de corriger ces comportements problématiques et complexifie le débogage. L'objectif est de désactiver seulement certaines de ces règles de la politique et non de les supprimer entièrement. Ainsi si le nouveau comportement vous pose problème, vous pouvez exécuter la commande # setsebool -P dontaudit_unlabeled_files 1 pour rétablir le comportement précédent.
Le paquet gnupg2 pour fournir l'outil de chiffrement est dorénavant découpé en plusieurs sous paquets. Cela permet de réduire la taille du système par défaut car uniquement les paquets nécessaires seront installés par défaut, laissant de côté les binaires plus optionnels. L'autre bénéfice est de simplifier le remplacement par Sequoia-PGP car il nécessite la présence de certains binaires de GnuPG mais en remplace d'autres ce qui n'était pas possible de faire avec un paquet unique. Les installations existantes installeront tous les sous paquets par défaut pour éviter de casser les systèmes existants.
Le filtre d'impression Foomatic-rip rejette les valeurs inconnues. En effet il est utilisé pour construire des commandes shell, PostScript ou Perl qui sont ensuite exécutées avant d'envoyer la tâche à l'impression via les options FoomaticRIPCommandLine, FoomaticRIPCommandLinePDF et FoomaticRIPOptionSettings. Cette flexibilité permet d'écrire ou de modifier facilement le pilote de l'imprimante en question mais est source de vulnérabilités de sécurité aussi. Pour limiter les risques, par défaut aucune valeur pour ces options ne sont autorisées. L'utilisateur doit exécuter foomatic-hash une fois pour vérifier la validité des options et s'assurer qu'elles ne font rien de problématiques et déplacer le dit fichier dans /etc/foomatic/hashes.d pour autoriser leur utilisation. Cela ne concerne à priori que des vieux pilotes d'imprimantes et une partie de ceux fournis par CUPS ont déjà les validations nécessaires. D'autres devraient venir progressivement. Les pilotes d'imprimantes déjà installés au niveau du système seront aussi automatiquement validés après une mise à niveau vers Fedora Linux 43. À cause de la flexibilité de la méthode d'origine, corriger ou détecter tous les comportements problématiques automatiquement n'est pas possible.
Le projet 389 Directory Server ne permet plus de modifier ses bases de données BerkeleyDB. Les bases de données utilisaient par défaut LMDB depuis Fedora Linux 40 et l'abandon de BerkeleyDB se fera à priori pour Fedora Linux 45. L'objectif est de permettre la lecture des données pour les migrer vers le nouveau format et ainsi forcer les utilisateurs à effectuer la migration avant son abandon total.

Le gestionnaire de base de données PostgreSQL est mis à jour à sa 18e version. Cette version améliore les performances dans le sous-système des entrées-sorties asynchrones et dans l'optimiseur des requêtes. D'ailleurs, la migration d'une base de données vers une version suivante conserve les statistiques de l'optimiseur. Une nouvelle fonction uuidv7() permet de générer un UUID autorisant un tri basé sur un critère temporel. Par défaut les colonnes générées, celles dont le contenu est créé durant une opération de lecture, sont virtuelles et ne résident pas sur le disque. Les B-tree index multi-colonnes peuvent être utilisés plus souvent notamment s'il n'y a pas de contraintes sur les premières clés mais uniquement sur la dernière. Le protocole OAuth peut être utilisé pour s'authentifier dorénavant. Comme d'habitude, les versions 17 et 16 de ce logiciel restent disponibles dans les dépôts autorisant une mise à niveau progressive.
Le gestionnaire de base de données MySQL passe par défaut à la version 8.4. La version 8.4 était déjà proposée dans les dépôts, elle remplace juste la version 8.0 en tant que version de base. Cette dernière reste disponible via le paquet mysql8.0.
Le serveur de courriels Dovecot est mis à jour vers sa version 2.4. ( https://doc.dovecot.org/2.4.0/installation/upgrade/2.3-to-2.4.html ). Cette version change de manière significative la configuration qui nécessite une adaptation. Il est maintenant possible de stopper une commande mail qui prend du temps proprement. Pour l'authentification, les fonctions de hashage SCRAM-SHA-1-PLUS et SCRAM-SHA-256-PLUS sont maintenant disponibles de même que les liaisons de canal TLS. La bibliothèque Lua permet d’interagir avec le client DNS et HTTP fourni par Dovecot.
Le serveur d'application Tomcat est mis à jour vers sa version 10.1. Cela signifie qu'il suit la spécification Jakarta EE 10 ce qui implique un nouvel espace de nom qui passe de javax.* à jakarta.*. À cause de ce changement, la compatibilité ascendante n'est pas garantie et une recompilation des applications web est requise. De même l'API interne de Tomcat a beaucoup changé subtilement ce qui rend la compatibilité binaire impossible à garantir et nécessite une revue pour ceux qui interagissent avec ces APIs. Par défaut les requêtes et réponses Web se font en UTF-8 tandis que les sessions ne sont pas conservées après un redémarrage du serveur. Les fichiers de logs ne seront créés que lorsque du contenu doit être écrit dedans. Enfin les paramètres HTTP en commun entre HTTP 2 et 1.1 seront placés au niveau du connecteur HTTP 1.1 pour permettre à la version 2 d'en hériter et limiter ainsi la duplication des paramètres.
Migration de l'utilitaire de journalisation lastlog à lastlog2. Le premier intérêt de cette migration est de corriger le bogue de l'an 2038 qui n'affecte pas ce dernier. Il peut également utiliser la base de données SQLite 3 pour le stockage. Il utilise aussi des composants PAM pour l'authentification et la sortie est compatible de manière ascendante également. De plus il est légèrement plus performant en augmentant la taille de stockage à partir du nombre d'utilisateurs et non du plus grand id utilisateur dans le lot. Le risque de problèmes de compatibilité devrait être faible. La migration vers le nouveau format sera effectuée automatiquement et des liens symboliques vers les noms des anciens binaires sont créés pour éviter de casser des scripts existants.
L'information PLATFORM_ID dans os-release est supprimée. Cette information a été ajoutée dans le cadre de la modularité, mais avec l'abandon de celle-ci cette ligne n'est plus nécessaire. Ceux qui se basent sur cette information sont invités à changer d'approche.

La chaine de compilation GNU évolue avec binutils 2.45 et glibc 2.42. Pour binutils, les informations sframe générées par l'assembleur sont maintenant conformes avec la spécification SFrame V2. L'assembleur gère les dernières évolutions des architectures RISC-V, LoongArch et AArch64. Il peut également utiliser les directives .errif et .warnif pour avoir un diagnostic contrôlé par l'utilisateur avec des critères qui sont évalués uniquement à la fin de l'assemblage.
Pour la bibliothèque C glibc, elle prend en charge de nouvelles fonctions mathématiques introduites dans C23 telles que ompoundn, pown, powr, rootn et rsqrt. Elle propose aussi en avance les fonctions valeurs absolues non signées qui seront proposées par la prochaine norme C. Ajout également de la fonction pthread_gettid_np pour connaître l'id d'un thread à partir d'une structure opaque pthread_t. Le cache local dans malloc gagne en performance pour les petites allocations mémoire et peut prendre en charge des blocs à mettre en cache de plus grande taille via l'option glibc.malloc.tcache_max. Le manuel a été particulièrement enrichi pour être plus complet notamment pour les threads, terminaux, systèmes de fichiers, ressources et fonctions mathématiques.
L'éditeur de lien Gold du paquet binutils-gold est considéré comme déprécié et sera supprimé dans une version future. Fedora Linux a déjà quatre éditeurs de liens : ld.bfd, ld.gold, lld et mold. Se débarrasser de l'un d'entre eux qui n'est plus maintenu par le projet GNU n'est pas un problème et doit améliorer à terme la maintenance.
Mise à jour de la chaine de compilation LLVM à sa version 21. Les cartes graphiques AMD voient une amélioration de la prise en charge de ROCm de même qu'un effort pour proposer une bibliothèque C pour GPU. Mais les architectures RISC-V ou cartes graphiques de Nvidia ont également quelques améliorations mineures de ce côté. Le compilateur C CLang optimise l’arithmétique des pointeurs avec le pointeur null et comme souvent le suivi des futurs standards C et C++ se poursuit. Le compilateur fait de meilleurs messages d'erreurs pour les erreurs de compilation.
Côté Fedora, les RPM de ce projet sont compilés avec un profil d'optimisation ce qui devrait significativement améliorer les performances du compilateur maison.
Le langage Python mue à sa version 3.14. Cette version propose des template strings pour étendre les capacités des f-string afin de plus facilement modifier la valeur de la chaîne de caractères à partir d'autres variables. L'évaluation différée des annotations permet de résoudre les annotations de type qui sont cycliques. De plus, un nouveau module concurrent.interpreters fait son apparition pour permettre l'exécution d'interpréteurs Python dans des fils d'exécution uniques pouvant communiquer entre eux, ouvrant la voie à un vrai parallélisme sans renoncer, pour le moment, au fameux verrou principal nommé GIL. Le module compression accueille l'algorithme zstd qui est de plus en plus utilisé dans divers projets libres. Enfin les UUIDs 6, 7 et 8 font leur apparition, permettant de générer des UUIDs respectant différents critères, les deux premiers autorisent un tri temporel quand le dernier est un assemblage de 3 entiers fournis en paramètre. Il y a évidemment d'autres changements améliorant notamment des performances ou rendant les messages d'erreurs plus clairs.
Le langage Go passe à la version 1.25. Il est possible dans cette version d'activer le détecteur de fuites de mémoire à la compilation d'un programme par la commande go build -asan, le rapport sera généré à la fermeture du programme. La commande go vet détecte les appels de fonctions sync.WaitGroup.Add mal placés de même que l'usage de fmt.Sprintf("%s:%d", host, port) pour construire des adresses de connexions qui sont invalides en cas d'usage d'IPv6. Un nouveau ramasse-miettes expérimental fait son apparition, il doit réduire l'impact de ces opérations de 10-40% pour un programme standard qui fait beaucoup d'allocations et de désallocations de petits objets. En terme d'expérimentations, un nouveau module expérimental encoding/json/v2 fait son entrée en matière pour l'encodage et le décodage de JSON, qui doit être plus performant pour le décodage tout en supprimant certaines limitations. Pour les développeurs, un nouveau module runtime/trace.FlightRecorder permet de facilement enregistrer la trace d'événements précis dans le programme dans le contexte de débogage tout en étant léger pour ne pas perturber l'exécution du logiciel par les traces complètes habituellement utilisées. Dans le contexte du débogage, le format DWARF5 pour les informations de débogage peut être exploité ce qui améliore la taille du binaire et le temps de l'édition des liens par ailleurs.
Le langage Perl fourni une réponse brillante avec sa version 5.42. L'accent a été mis pour améliorer les performances dans cette version. Mais en plus de cela, les méthodes peuvent être déclarées avec une visibilité réduite avec l'instruction my method, ces méthodes peuvent être appelées grâce à l'opérateur ->&. Deux nouveaux opérateurs expérimentaux all et any pour vérifier une condition sur l'ensemble, et respectivement aucun, des éléments d'une liste. Un pragma source::encoding peut être apposé sur un fichier source pour détecter les erreurs d'encodage du dit fichier s'il ne correspond pas à celui mentionné. Une classe peut générer automatiquement un setter pour un de ses attributs via l'attribut :writer qui fonctionne de manière analogue à :reader pour le getter.
La boîte à outils Ruby on Rails démarrera voie 8.0. La gestion de certaines dépendances a été améliorée, en effet pour bénéficier de certaines fonctions telles que les Websockets, les jobs ou le cache il était nécessaire d'utiliser au choix MySQL, PostgreSQL ou Redis. Maintenant SQLite s'ajoute à la liste des possibilités et cela passe par de nouvelles couches d'abstractions Solid Cable, Solid Cache et Solid Queue. Fournir des fichiers statiques repose sur Propshaft au lieu de Sprockets, qui est bien plus simple en tirant profit des changements dans le monde Web opérés depuis 15 ans tels que les frameworks JavaScript ou la bonne gestion des petits fichiers avec le protocole HTTP/2 qui permettent de déléguer la gestion de ces problématiques aux frameworks JavaScript directement. Pour finir, elle propose un moyen simple de générer un template basique mais efficace pour la gestion de l'authentification d'une application, en exécutant bin/rails generate authentication vous obtenez un modèle basique pour la session et l'utilisateur avec des contrôleurs pour la session et l'authentification elle même.

La machine virtuelle Java OpenJDK 25 est fournie. Parmi les changements, un effort a été consenti pour améliorer le mécanisme de l'Ahead-of-Time, la mise en cache de la JVM. Il devient en effet plus facile d'exécuter une application simple pour générer la liste des objets et modules nécessaires pour rendre les prochains lancement plus rapides et de même les profils générés pour accélérer l'application seront immédiatement exploités au démarrage pour également accélérer le lancement de l'application. Le système d'enregistrement des événements est étendu avec la possibilité d'enregistrer précisément le temps d'exécution d'une fonction et sa pile d'appels. Il est possible d'appeler d'autres fonctions ou opérations dans un constructeur avant qu'il n'appelle lui même un autre constructeur du même objet ou de sa classe parente ce qui peut simplifier le code en le rendant plus naturel.
L'utilitaire dans l'écosystème Java nommé Maven bénéficie de la version 4 en parallèle de la version 3. La version 4 est accessible via le paquet maven4 depuis les dépôts. Parmi les changements fondamentaux, il y a une séparation entre les besoins de compilation et d'utilisation du fichier pom.xml qui mentionnait dans le détail les informations de compilation ou des dépendances ce qui était rarement utile pour les utilisateurs lors du déploiement. Maintenant le fichier destiné à être distribué ne mentionne plus ces informations superflues. Dans ce contexte, un nouveau type de paquet bom est fourni pour générer une liste de composants nécessaires pour ce logiciel ce qui est un atout dans une optique de traçabilité et de suivi des failles de sécurité par exemple. Pour clarifier la différence entre les modules de Maven et ceux de Java, les modules sont renommés subprojects, d'ailleurs ces sous-projets peuvent déduire des informations du parent comme sa version par exemple ce qui rend inutile de le mentionner à nouveau. Les sous-projets peuvent aussi être découverts au sein du projet évitant le besoin de les déclarer explicitement et systématiquement.
Le compilateur pour le langage Haskell GHC a été mis à jour vers sa version 9.8 et son écosystème Stackage vers la version 23. Le langage fonctionnel dispose des ExtendedLiterals pour préciser le type précis d'un entier défini tel quel dans le code, comme 123#Int8 pour un entier représenté uniquement sur 8 bits. Dans le bas niveau, un logiciel compilé avec -mfma pour permettre l'usage des instructions, qui combinent multiplication et addition (telle que fmaddFloat# x y z qui donne x * y + z) si l'architecture matérielle les supporte ce qui peut améliorer les performances du programme. Il introduit des nouveaux pragmas WARNING et DEPRECATED à des fonctions d'un module pour signaler à un appelant de prêter attention à son utilisation, notamment en cas de suppression planifiée de la dite fonction.
Le langage de programmation fonctionnel Idris dispose d'une mise à jour majeure vers sa 2e version. La version 1 reposait sur Haskell mais il devenait de plus en plus difficile de le générer avec des versions récentes du compilateur GHC. La version 2 est implémentée en Scheme et repose sur la théorie des types quantifiés, ainsi une variable assignée à une quantité 0 est effacée, assignée à une quantité 1 elle est utilisée une seule et unique fois, etc. Ce changement de paradigme rend de nombreux programmes écrits pour Idris 1 incompatibles. De plus le Prelude du langage ne contient que les éléments strictement nécessaires dans la plupart des programmes non triviaux, le reste est relégué dans dans la bibliothèque base.
Le compilateur Free Pascal propose des paquets permettant la compilation croisée avec d'autres architectures. Ce changement facilite la compilation de programmes pour d'autres systèmes sans quitter sa Fedora Linux. Les paquets nécessaires sont de la forme fpc-cross-$CPU et fpc-units-$CPU-$OS où le premier fourni le compilateur en lui même à destination d'une architecture matérielle spécifique quand le second fourni des objets précompilés nécessaires la plupart du temps pour générer un programme pouvant s'exécuter sur le matériel et système considéré. Si vous souhaitez compiler pour du Windows x86 il faudra installer par conséquent les paquets fpc-cross-i386 et fpc-units-i386-win32.
La bibliothèque d'Intel tbb pour paralléliser certaines tâches passe à la version 2022.2.0. Les changements sont relativement mineurs mais à cause de la rupture de compatibilité de l'ABI, les logiciels s'en servant doivent être recompilés pour fonctionner avec cette nouvelle version.
La signature cryptographique des informations de débogage debuginfod est maintenant vérifiée automatiquement du côté du client. Les paquets RPM fournissaient la dite signature depuis Fedora Linux 39, le client comme serveur prenaient en charge la fonctionnalité, il ne manquait plus qu'une configuration adéquate pour permettre ce changement. Cela se fait en éditant le fichier de configuration /etc/debuginfod/elfutils.urls ainsi :
ima:enforcing https://debuginfod.fedoraproject.org ima:ignore
Cela ne le fait que pour les informations de débogage provenant du projet Fedora, pour les autres il faudra activer cela manuellement de manière similaire.
En cas de signature invalide, les fichiers concernés seront rejetés et considérés comme non disponibles ce qui améliore la fiabilité et la sécurité du débogage.
La bibliothèque Rust async-std est considérée comme dépréciée avant une suppression future. Il n'est en effet plus maintenu et il est recommandé de passer à la bibliothèque smol à la place.
La bibliothèque Python python-async-timeout est considéré comme dépréciée avant une suppression future. Cette fonctionnalité est en effet fournie dans la bibliothèque standard depuis Python 3.11 rendant cette bibliothèque obsolète. Cependant une trentaine de paquets s'en servent encore directement rendant sa suppression impossible à ce stade.
Le paquet python-nose a été retiré. Déprécié par Fedora depuis plus de cinq ans et sans maintenant depuis plus longtemps, sa suppression devenait nécessaire avec l'impossibilité de s'en servir avec la dernière version de Python. Les paquets qui en avaient encore besoin ont été corrigés pour s'en passer.
Les paquets concernant d'anciennes versions de GTK pour Rust gtk3-rs, gtk-rs-core version 0.18, et gtk4-rs ont été retirés. Ils étaient dépréciés depuis Fedora Linux 42 car non maintenus.
Koji utilise localement au sein du projet Fedora une instance de Red Hat Image Builder pour générer certaines images qui en dépendent. Cela concerne les images Fedora IoT et Minimal qui étaient construites via l'infrastructure de Red Hat, Koji ne servant que d'orchestrateur côté Fedora. Cela posait quelques soucis dont la possibilité d'intervenir en cas de problèmes mais aussi le fait qu'il était impossible de geler les changements de l'infrastructure aux moments adéquats pour l'élaboration des nouvelles images. Le paquet koji-image-builder a été créé pour permettre d'exécuter cette machinerie localement au sein de l'infrastructure du projet Fedora. L'objectif est d'inclure cela dans Koji intégralement à terme quand ce sera suffisamment testé.
La génération de l'image Core OS repose sur le fichier de définition de conteneurs Containerfile. Jusqu'ici l'image était conçue via RPM OStree avant d'être convertie en image OCI. L'objectif est donc de sauter l'étape RPM OStree en utilisant les conteneurs, l'image de référence est basée sur une Fedora Linux avec bootc. Cela simplifie la procédure de génération de l'image et permet facilement à quiconque de reproduire ces étapes s'il le souhaite en utilisant podman.
Arrêt de publication des mises à jour de Core OS sur le dépôt OSTree. Cela fait suite au changement introduit dans la version précédente de Fedora où l'utilisation des images OCI avait débuté et que les nœuds existants allaient progressivement migrer vers ce format. Désormais maintenir la publication de mises à jour OSTree n'a plus de sens et permet de réallouer les ressources humaines et matérielles.
Le système d'intégration continue de Fedora ne prend plus en charge le format Standard Test Interface. Il évoluait conjointement avec Test Management Tool qui a maintenant les mêmes capacités et continue d'évoluer. N'avoir plus qu'un format permet de simplifier la maintenance et l'outillage. Il y avait de plus en plus d'erreurs liées à l'usage de STI pour certains paquets incitant à faire la migration. Le format TMT a quelques avantages dont une meilleure organisation des tests et des environnements de tests. Les tests sont également reproductibles localement ce qui est important pour la résolution de problèmes. Grâce à l'intégration avec Packit il peut facilement exécuter les tests à partir des sources du logiciel si besoin ce qui est utile en cas de nouvelle version d'un paquet ou voir si un correctif spécifique à Fedora introduit des régressions.
Les nouveaux paquets recevront automatiquement une nouvelle configuration basée sur Packit pour permettre la gestion automatique de certaines tâches dans le cadre des nouvelles versions de Fedora. Cette étape est faite lors de la création du projet sur le service src.fedoraproject.org, un correctif fournit automatiquement cette configuration initiale. Cela peut être manuellement désactivé lors de la création si un mainteneur ne le souhaite pas. L'intérêt est de simplifier l'accueil de nouveaux empaqueteurs mais aussi d'automatiser certaines tâches par défaut. En cas de nouvelle version d'un composant, le paquet avec cette version sera automatiquement crée pour identifier les éventuels problèmes, et s'il n'y en a pas, permettre de créer automatiquement la nouvelle version du paquet et de la diffuser si l'empaqueteur accepte cette nouvelle version. Cela peut aider à gérer plus de paquets et à mieux les maintenir sur le long terme.

Les bibliothèques statiques fournies par les paquets RPM de Fedora conservent les informations de débogage pour permettre de comprendre l'origine des crashes des applications les exploitant. Cela est rendu possible par la mise à jour du composant debugedit qui permet une telle opération sans trop de problèmes. En effet il peut maintenant collecter les fichiers sources d'une bibliothèque statique et réécrire les chemins vers le répertoire /usr/src/debug comme attendu par les différents outils de débogage. Cependant les informations de débogage sont fournies dans les paquets RPM des binaires pour éviter la complexité de les inclure manuellement pour les développeurs qui en ont besoin dans leur logiciel. L'espace disque nécessaire pour ces informations est considéré comme relativement négligeable pour prendre cette décision. Pour les développeurs qui ne veulent pas des informations de débogage dans leur binaire peuvent exécuter la commande strip -g après l'édition de liens.
Les macros dédiées pour générer les paquets Python reposant sur setup.py sont dorénavant dépréciées. Cela concerne les macros %py3_build, %py3_install, et %py3_build_wheel. En effet ces macros reposent sur ce script qui ne sera plus maintenu à partir d'octobre 2025 par le projet setuptools. L'objectif est d'inciter et de migrer progressivement ces paquets vers la nouvelle méthode reposant sur les macros %pyproject_* et exploitant le fichier de configuration pyproject.toml. Environ 35% des paquets Python de Fedora sont donc concernés par cette migration à venir qui permettra de moderniser et d'unifier les conventions tout en suivant les bonnes pratiques de l'écosystème Python.
Les paquets Go sont compilés en utilisant par défaut les dépendances du projet compilé plutôt que d'utiliser systématiquement des dépendances basées sur des RPM gérés par le projet Fedora. En effet les binaires Go sont tous statiquement compilés donc l'objectif de maintenir des dépendances ne sert qu'à la construction des dits paquets. Cela concerne environ 1400 paquets pour générer 400 paquets avec un binaire Go. C'est beaucoup de travail de maintenance et cela limitait la possibilité d'inclure plus de paquets Go car il fallait empaqueter toutes les dépendances nécessaires au préalable. Cependant avec cette méthode il devient plus difficile de corriger les bogues ou problèmes de sécurité introduits par ces dépendances si Fedora ne les récupère pas. Et pour les cas où il est préférable d'avoir les dépendances gérées par le projet, cela sera un travail plus important pour un paquet donné car moins de dépendances seront déjà disponibles dans les dépôts. Le suivi des licences nécessite d'être adapté pour s'assurer qu'il n'y a pas de problèmes de compatibilité mais des outils existent déjà pour réduire cette problématique.
Les paquets NodeJs utiliseront un nouveau formalisme pour le chemin de leurs dépendances. En effet le répertoire %{_libdir}/node_modules pointait vers un répertoire spécifique à une version de NodeJs comme %{_libdir}/node_modules20 pour la version 20 de NodeJs. Mais cette solution était plutôt pénible avec Fedora qui propose plusieurs versions de NodeJs en parallèle. L'objectif est de mettre en commun %{_libdir}/node_modules pour les modules où c'est possible, et pour ceux qui ont par exemple un binaire WASM, ils seront affectés dans un répertoire dépendant de la version. Cela simplifie la procédure d'empaquetage de ces composants tout en réduisant les doublons et en évitant les incompatibilités. Cela aide également à mettre en évidence les dépendances binaires cachées pour les reconstruire au sein du projet Fedora, ou les supprimer si cela n'est pas possible, à terme.
Les macros CMake ne fourniront plus de variables d'installation non standards. Cela concerne les variables -DINCLUDE_INSTALL_DIR, -DLIB_INSTALL_DIR, -DSYSCONF_INSTALL_DIR, -DSHARE_INSTALL_PREFIX et -DLIB_SUFFIX. Cela ajoute de la confusion notamment parce que la signification de certaines variables n'est pas transparente comme INCLUDE_INSTALL_DIR qui pourrait attendre des chemins relatifs ou absolus. CMake 3.0 a standardisé beaucoup de choses dans GNUInstallDirs qui sont massivement utilisés depuis. Cela permet de mieux s'intégrer dans l'écosystème de ces projets et limite le risque d'erreurs lors de la construction des paquets.
L'assembleur YASM est considéré comme déprécié pour utiliser NASM à la place. Il n'est en effet plus maintenu même si encore utilisé dans quelques paquets tel que Firefox. D'autres distributions ont déjà sauté le pas.
Ajout des nouveaux macros RPM _pkg_extra_*flags pour permettre à chaque paquet d'ajouter des nouvelles options à la compilation à la liste par défaut fournie par le projet Fedora. L'intérêt est d'avoir un moyen standard d'étendre les options de compilation d'un paquet plutôt que chacun les édite à sa sauce et cela permet dans le même temps de voir facilement quels paquets et quelles options sont personnalisés.
Certaines limitations de l'outil gpgverify utilisé par les mainteneurs de paquets sont corrigées permettant de supprimer des méthodes de contournement associés. En effet l'outil est utilisé pour s'assurer que les sources pour construire le paquet sont bien celles souhaitées. Par exemple il était incapable de lire plusieurs clés GPG fournis dans un fichier dédié comme nginx pouvait le faire. Il était également incapable de gérer automatiquement la signature des sommes de contrôle des archives, il exigeait que l'archive des sources elle même soit signée ce qui n'était pas toujours le cas. Maintenant il prendre également en compte les clés au format keybox. Le tout améliore la maintenance des paquets concernés mais évite aussi la possibilité de contourner la sécurité dans certains cas.

Borsalinux-fr est l'association qui gère la promotion de Fedora dans l'espace francophone. Nous constatons depuis quelques années une baisse progressive des membres à jour de cotisation et de volontaires pour prendre en main les activités dévolues à l'association.
Nous lançons donc un appel à nous rejoindre afin de nous aider.
L'association est en effet propriétaire du site officiel de la communauté francophone de Fedora, organise des évènements promotionnels comme les Rencontres Fedora régulièrement et participe à l'ensemble des évènements majeurs concernant le libre à travers la France principalement.
Si vous aimez Fedora, et que vous souhaitez que notre action perdure, vous pouvez :
Nous serions ravis de vous accueillir et de vous aider dans vos démarches. Toute contribution, même minime, est appréciée.
Si vous souhaitez avoir un aperçu de notre activité, vous pouvez participer à nos réunions mensuelles chaque premier lundi soir du mois à 20h30 (heure de Paris). Pour plus de convivialité, nous l'avons mis en place en visioconférence sur Jitsi.
Depuis juin 2017, un grand travail de nettoyage a été entrepris sur la documentation francophone de Fedora, pour rattraper les 5 années de retard accumulées sur le sujet.
Le moins que l'on puisse dire, c'est que le travail abattu est important : près de 90 articles corrigés et remis au goût du jour.
Un grand merci à Charles-Antoine Couret, Nicolas Berrehouc, Édouard Duliège, Sylvain Réault et les autres contributeurs et relecteurs pour leurs contributions.
La synchronisation du travail se passe sur le forum.
Si vous avez des idées d'articles ou de corrections à effectuer, que vous avez une compétence technique à retransmettre, n'hésitez pas à participer.

Si vous avez déjà Fedora Linux 42 ou 41 sur votre machine, vous pouvez faire une mise à niveau vers Fedora Linux 43. Cela consiste en une grosse mise à jour, vos applications et données sont préservées.
Autrement, pas de panique, vous pouvez télécharger Fedora Linux avant de procéder à son installation. La procédure ne prend que quelques minutes.
Nous vous recommandons dans les deux cas de procéder à une sauvegarde de vos données au préalable.
De plus, pour éviter les mauvaises surprises, nous vous recommandons aussi de lire au préalable les bogues importants connus à ce jour pour Fedora Linux 43.
Commentaires : voir le flux Atom ouvrir dans le navigateur
Deux-cent-cinquante-huitième émission « Libre à vous ! » de l’April. Podcast et programme :
Rendez‐vous en direct chaque mardi de 15 h 30 à 17 h sur 93,1 FM en Île‐de‐France. L’émission est diffusée simultanément sur le site Web de la radio Cause Commune.
Mardi 4 novembre, Isabella Vanni, coordinatrice vie associative et responsable projets de l'April depuis 2014, partagera son « Parcours libriste ».
Commentaires : voir le flux Atom ouvrir dans le navigateur
Charta est un outil libre (licence Apache-2.0) en ligne de commande écrit en Go pour générer des graphiques colorés dans un terminal. Plusieurs types de graphiques sont proposés (simple, min/moy/max, cumul, comparaison) ainsi que divers formats d'entrée (JSON, YAML, texte brut et l'entrée standard stdin). Charta offre des options d'entrée flexibles, une sortie colorée avec des couleurs personnalisables, des capacités de regroupement pour l'analyse de données et un support de seuils avec des niveaux d'avertissement et d'alerte. Parfait pour la visualisation de données dans les environnements terminaux et les workflows de scripts shell.
Le voici maintenant en version 0.3.1. Les nouveautés et visuels sont disponibles dans la suite de la dépêche.



label=>100
12.67 2.976
new
label=95-99
8.273 2.058
19.813 4.977
27.754 7.239
37.882 10.101
48.398 14.477
new
label=90-94
61.235 20.525
76.447 27.317
91.072 34.519
105.456 44.797
124.322 55.382
new
label=85-89
139.226 66.602
164.803 83.373
175.872 95.104
192.55 108.339
195.596 116.712
new
label=80-84
210.98 131.872
213.902 139.041
222.853 153.145
226.088 160.969
232.663 171.854
new
label=75-79
239.598 182.015
231.318 179.151
221.914 177.799
249.057 204.674
269.401 224.687
new
label=70-74
279.055 234.112
286.325 242.793
378.561 327.085
400.876 350.179
409.072 361.485
new
label=65-69
413.673 364.312
422.019 374.817
408.05 364.694
418.007 374.781
413.428 371.165
new
label=60-64
421.875 381.146
424.094 382.395
427.893 390.345
430.912 395.817
433.635 400.042
new
label=55-59
442.263 410.415
444.709 416.331
444.896 421.161
445.047 422.099
460.412 438.142
new
label=50-54
464.153 444.96
459.31 442.828
457.665 441.979
447.421 432.749
450.472 434.971
new
label=45-49
452.879 441.572
457.896 448.697
466.462 457.822
469.527 459.886
460.384 448.213
new
label=40-44
435.157 426.173
413.722 404.346
404.35 390.441
413.671 404.816
414.208 399.149
new
label=65-39
424.441 405.581
448.307 427.643
442.482 421.707
438.39 416.777
414.133 391.214
new
label=30-34
417.815 396.435
420.79 398.786
422.167 397.979
413.955 392.786
409.842 390.899
new
label=25-29
405.038 385.034
397.08 384.835
385.366 377.772
376.224 373.66
357.966 356.195
new
label=20-24
358.614 357.849
367.951 371.731
374.177 381.869
370.258 384.384
384.532 398.993
new
label=15-19
390.002 410.714
412.56 433.377
409.037 431.086
403.441 423.901
402.532 422.127
new
label=10-14
403.761 422.877
405.218 424.141
415.28 433.073
408.166 426.744
410.703 430.934
new
label=5-9
408.232 427.917
412.555 429.919
403.349 418.623
396.835 417.471
389.92 407.611
new
label=0-4
387.042 399.232
372.402 387.906
363.162 378.518
355.472 370.453
347.749 364.155

Les contributions sont les bienvenues ! N'hésitez pas à forker le dépôt, proposer des améliorations, signaler des bugs ou soumettre des pull requests. Les empaqueteurs pour les différentes distributions sont également les bienvenus. La génération du paquet n'est pas compliquée puisqu'un simple go build génère un exécutable qui n'a besoin d'aucune dépendance.
Commentaires : voir le flux Atom ouvrir dans le navigateur
Du 23 au 25 janvier 2026, la commune de St-Cergue accueillera la 10e édition des Rencontres Hivernales du Libre (RHL), un événement devenu incontournable pour toutes celles et ceux qui s’intéressent au logiciel libre, au numérique éthique et au développement durable en Suisse romande.
À l’origine de cet événement : Swisslinux.org, association fondée en 2006 pour promouvoir une informatique libre, transparente et durable. Cette édition marquera également un cap symbolique : les 20 ans de Swisslinux.org.
Organisées chaque hiver dans le cadre montagnard et convivial de St-Cergue, les RHL accueillent une centaine de participantes et participants de tous horizons : passionné·e·s d’informatique, enseignants, artistes, associations, familles, technicien·ne·s, curieux·ses… réuni·e·s pour échanger sur les enjeux technologiques actuels et explorer ensemble les alternatives libres.

Conférences, ateliers participatifs, expositions, concerts… Le programme de cette 10e édition mettra en avant trois thématiques majeures :
L’art libre, de la création à la diffusion : comment produire et partager en dehors des circuits propriétaires et privateurs et surtout pourquoi le faire ?
Numérique & éducation : avec des ateliers le vendredi après-midi, avec des élèves de 10 à 16 ans, pour faire découvrir des cours, ateliers et outils libres pour montrer concrètement aux enseignants comment ils peuvent enseigner l’informatique en classe. Ces activités seront également présentées au public le samedi après-midi, à découvrir en famille.
Inclusion : déconstruire les stéréotypes et promouvoir un numérique réellement ouvert à toutes et tous. Comment y parvenir dans un monde où les femmes et les profils divers sont encore trop souvent absents ?
L’événement se veut aussi festif : le samedi soir se clôturera par un concert de musique libre, et les échanges se prolongeront autour d’une fondue conviviale le dimanche midi.
Depuis leur création, les RHL ont accueilli plus de 700 participants, 150 conférences et 40 ateliers. Cette 10e édition promet d’être un moment fort dans l’histoire de l’événement, dans un contexte où les questions de souveraineté numérique, de durabilité technologique et de libertés numériques deviennent de plus en plus centrales. Le besoin de ce genre de rencontres est aujourd’hui plus que jamais vivant.
Infos pratiques
🗓 Dates : du 23 au 25 janvier 2026
📍 Lieu : Centre du Vallon, Chemin de la Vieille-Route 1, 1264 Saint-Cergue
🌐 Site web : rencontreshivernalesdulibre.ch
Commentaires : voir le flux Atom ouvrir dans le navigateur
Open Source Experts lance ce cycle de webinaires pour donner la parole aux meilleurs spécialistes de l’écosystème. L’objectif : décrypter les grands enjeux technologiques, juridiques, économiques et géopolitiques qui façonnent l’avenir numérique de la France et de l’Europe.
Chaque webinaire propose le regard éclairé d’un expert qui analyse en profondeur une thématique stratégique, apportant aux décideurs les clés de compréhension nécessaires pour naviguer dans un environnement numérique en mutation rapide. Au-delà de la dimension technique, ce cycle ambitionne de nourrir une réflexion collective sur la construction d’une souveraineté numérique durable et collaborative.
Pour le 1er webinaire, le sujet sera "Souveraineté sans rivalité, la voie de l’Open Source ?" et ce sera présenté par Benjamin JEAN d'Inno3. Il aura lieu le 14 novembre 2025 à 11h.
Commentaires : voir le flux Atom ouvrir dans le navigateur
La semaine dernière, vous avez pu découvrir le premier numéro du Lama déchainé qui était consacré au coup de gueule contre Microsoft et l’opération lancée par l’April Adieu Windows, bonjour le Libre!. Cette opération repose sur toutes les bonnes volontés libristes des GULL locaux. Merci de votre temps, patience et volonté de libérer et d’aider les personnes qui en ont besoin. Super dessin de Gee (mais quel talent !) pour montrer les différences entre Windows 11 et les distributions libres.
Nous avions laissé la plume au collectif NIRD et l’écho des assos était consacré au Libre vanvéen. Le logiciel Androïd proposé par Michaël Opdenacker, un nouveau membre de l’équipe de journalistes, spécialisé technique, était Heliboard pour libérer son clavier et nous promettions de vous faire découvrir des distributions libres. Arf, j’ai failli oublier les mots croisés qui vous ont fait réagir dans les commentaires !
Cette semaine, notre gazette s’intéresse à l’éducation et l’entraide… Deux valeurs incontournables dans la philosophie du Libre.
Des valeurs qui ne sont toujours pas maitrisées par le ministère de l’Éducation qui continue à gaspiller l’argent public. Merci Gee pour ce même. Vous pouvez en retrouver d’autres sur le site framamemes.org.

La plume invitée est la reine des elfes, Brigitte, une bénévole de Linux Quimper qui travaille au centre des abeilles. Elle soutient les personnes qui ont besoin d’aide en compagnie de ses copaines. Elle sautille sur les réseaux sociaux. Nous vous laissons découvrir son texte.
L’écho des associations est consacré à Oisux, qui avait organisé une des éditions de feu RMLL. C’était aussi le premier GULL à recevoir notre présidente pour son Tour des GULL. Allez découvrir ce qu’ils font.
Le logiciel Androïd proposé par Michaël cette semaine est Ankidroïd, pour faire travailler sa mémoire. Vous connaissez ? Vous l’avez déjà utilisé ?
Nous avions promis de présenter à chaque numéro du Lama déchainé une distribution Libre. Nous commençons donc avec Primtux, la distribution pour enfants et ado, chère à Oisux!
Vous pourrez également découvrir quel matériel regrouper pour fabriquer votre Lama Photonique Interpellant. LPI pour les intimes !
Et comme chaque semaine, retrouvez la parole de, l’anecdote, le saviez-vous ?, l’idée à déconstruire, l’ineptIA, une des chroniques de Libre à vous ! , une citation de Libre à Lire !, le chiffre de la semaine et bien sûr les mots croisés !

Cette gazette est là pour vous présenter les actions de l'April sur plusieurs semaines, de manière plus légère que nos lettres d'informations.
Afin d’assurer la pérennité de nos activités, nous cherchons à récolter une somme de 30 000€ avant le 31 décembre. Si vous pensez que l’April doit continuer à exister dans ce contexte où l’hégémonie des géants du logiciel privateur se fait toujours plus forte, vous pouvez adhérer ou faire un don.
Merci de votre lecture, de vos commentaires, de votre soutien !
Commentaires : voir le flux Atom ouvrir dans le navigateur
Calendrier Web, regroupant des événements liés au Libre (logiciel, salon, atelier, install party, conférence), annoncés par leurs organisateurs. Voici un récapitulatif de la semaine à venir. Le détail de chacun de ces 29 événements (France: 25, Québec: 1, Internet: 3) est en seconde partie de dépêche.
Tous les lundis de 10h à 17h sans interruption, l’association Prends toi en main / atelier abcpc, propose install party, suivi, dépannage, formation et revalorisation à petit prix sous Linux exclusivement.
L’atelier abcpc existe depuis plus de 10 ans et milite exclusivement pour les logiciels libres.
Vous voulez vous engager pour une cause, rencontrer de nouvelles personnes et découvrir la cartographie participative et humanitaire? CartONG vous invite à participer à un ou plusieurs mapathons en ligne! 🗺️💻
Venez cartographier les régions encore absentes des cartes pour soutenir les organisations humanitaires et de solidarité internationale qui ont besoin de cartes précises et à jour pour agir plus efficacement en cas de crise ou initier des projets de développement local.
Les ateliers de cartographie sont organisés dans le cadre du projet Missing Maps, qui a pour objectif de cartographier de façon préventive les régions vulnérables aux catastrophes naturelles, crises sanitaires, environnementales, aux conflits et à la pauvreté. On peut penser qu’aujourd’hui toutes les parties du monde sont cartographiées, mais en réalité de nombreuses régions ne possèdent encore aucune carte!
🤔 Pour qui? Pas besoin d’être un·e expert·e, les ateliers sont accessibles à tout le monde!
📌 Où ? 100% en ligne! Un lien de connexion vous sera envoyé après votre inscription
🖱️ Comment? Avec la plateforme de cartographie libre et contributive OpenStreetMap (OSM, le «Wikipédia des cartes») tout le monde peut participer à la cartographie de n’importe quelle zone de la planète: il suffit d’un ordinateur, d’une souris et d’une connexion internet! Accessibles à tout·es, nous serons là pour vous accompagner pour vos premiers pas avec OSM.
Le programme des mapathons
18h00: Introduction, présentation de la cartographie collaborative et solidaire et démonstration OSM pour les nouveaux·elles
18h30: On cartographie tous ensemble sur un projet
20h00: Fin du mapathon, conclusion sur les contributions de la soirée
Pour s’inscrire c’est par ici
Si vous avez besoin de plus d’info, vous pouvez nous contacter directement à l’adresse suivante: missingmaps@cartong.org
Depuis la rentrée 2023, les temps de rencontre autour d’OpenStreetMap sont relancés.
L’occasion de se rencontrer (ou de se retrouver), d’échanger sur OpenStreetMap et de lancer des projets en commun.
https://www.eventbrite.ca/e/open-source-hardware-meetup-tickets-1841285397189
Rejoignez-nous pour une rencontre gratuite à l’occasion du mois du open hardware au Café Sat ! Apportez vos créations ou venez simplement échanger.
Join us for a free meetup for Open Hardware Month at Cafe Sat! Bring something you've made, or just join us to connect.
L’émission Libre à vous! de l’April est diffusée chaque mardi de 15 h 30 à 17 h sur radio Cause Commune sur la bande FM en région parisienne (93.1) et sur le site web de la radio.
Le podcast de l’émission, les podcasts par sujets traités et les références citées sont disponibles dès que possible sur le site consacré à l’émission, quelques jours après l’émission en général.
Les ambitions de l’émission Libre à vous!
Découvrez les enjeux et l’actualité du logiciel libre, des musiques sous licences libres, et prenez le contrôle de vos libertés informatiques.
Donner à chacun et chacune, de manière simple et accessible, les clefs pour comprendre les enjeux mais aussi proposer des moyens d’action, tels sont les objectifs de cette émission hebdomadaire.
L’émission dispose:
Radio Cause Commune, Radio Cause Commune, Internet
Rendez-vous hebdomadaire le mardi à partir de 18h00
Mardi 28 octobre 2025 à partir de 18h, nous ferons un nouvel after au coworking du Taf Café à Bergerac.
Deux sujets autours de l’IA sont déjà prévus, un orienté métier, l’autre plus sur l’outillage disponible.
à bientôt.
La permanence d’ADeTI est un moment d’accueil avec des bénévoles pour apprendre à utiliser un ordinateur sous GNU/Linux (Ubuntu, Linux Mint, Debian…) mais aussi:
Mais c’est aussi un moment consacré pour:
Nous accueillons également des membres de l’association ALFA-Net et A-Hébergement qui peuvent répondre aux questions concernant Internet, les réseaux et l’hébergement: connexion à Internet, alternatives aux “Box” et aux opérateurs/FAI commerciaux, Neutralité du Net, Vie Privée, Blog, Site Internet/Web…
Vous avez décidé de reprendre en main votre vie numérique? Venez nous rencontrer le dernier mardi de chaque mois au Café Citoyen à Lille !
La permanence associative autour du Libre est une manifestation conviviale, ouverte à toutes et tous, organisée le dernier mardi (ou jeudi) de chaque mois par les collectifs de Chtinux (Raoull, Deuxfleurs, Mycélium, CLX, Cliss XXI…).
Rejoignez-nous pour y discuter joyeusement de Logiciel Libre, de Culture Libre, de données ouvertes (open data), de bidouille sous Linux, ou proposer vos idées d’évènements.
C’est aussi l’occasion d’obtenir un coup de main si vous rencontrez une difficulté sous Linux, ou si vous avez besoin de conseils pour migrer sur du Logiciel Libre.
Si vous venez avec votre ordinateur pour obtenir de l’aide technique, pour permettre à l’équipe bénévole de s’organiser, prévenez-nous via un courrier électronique à l’adresse: chtinux-diffusion CHEZ deuxfleurs POINT fr.
Le Café Citoyen est accessible en métro (station République – Beaux Arts). Une connexion Internet y est disponible, des prises électriques, de la place… Au bar, vous trouverez aussi de bonnes boissons avec et sans alcool, ainsi que de la petite restauration (notamment fromage ou tartines véganes).
Pour soutenir le Café Citoyen, nous vous demandons d’y acheter au minimum une consommation. Après avoir pris votre boisson ou votre en-cas au bar, vous pouvez nous rejoindre directement au deuxième étage.
Au plaisir de vous retrouver!
Assistance technique et démonstration concernant les logiciels libres.
Il est préférable de réserver votre place à contact (at) linuxmaine (point) org
Planning des réservations consultableici.
Un ordinateur qui rame, qui refuse de démarrer ou qui est cassé, venez le réparer en notre compagnie.
Marre de Windows et envie d’un peu de liberté, venez le libérer!
Des ateliers numériques pour:
RDV au Réppare'Lab, à côté du mouchoir de poche.
Chaque mercredi soir, l’association propose une rencontre pour partager des connaissances, des savoir-faire, des questions autour de l’utilisation des logiciels libres, que ce soit à propos du système d’exploitation Linux, des applications libres ou des services en ligne libres.
C’est l’occasion aussi de mettre en avant l’action des associations fédératrices telles que l’April ou Framasoft, dont nous sommes adhérents et dont nous soutenons les initiatives avec grande reconnaissance.
Bonjour,
La prochaine rencontre monnaie libre aura lieu le mercredi 29 octobre à St Loubès Ğblabla.
Venez participer à la prochaine rencontre autour de la Ğ1 à St Loubès.
Au programme:
👉🏻 Apéro partagé (apporter quelque chose à partager)
👉🏻 Questions-réponses sur les monnaies alternatives: la monnaie libre et la Gemme
👉🏻 Possibilité de certification
QUAND : Mercredi 29 oct (2025) de 18h30 à 20h30
OÙ : 48 rue du stade 33450 St Loubès
QUOI : Format apéro partagé (apporter quelque chose à partager),
Tout cela, bien sûr, dans le respect des lieux, du voisinage et des biens communs mis à disposition.
Vous pouvez inviter des amis en partageant ce llien à partager sur le forum de Monnaie Libre.
Au plaisir de s’y retrouver,
Sarah pour le collectif monnaie libre en Gironde
L'OMJC organise avec l’Association Club Linux Nord Pas-de-Calais organise ce mercredi une permanence Logiciels Libres ouverte à tous, membre de l’association ou non, débutant ou expert, curieux ou passionné.
Le Centre d’Infos Jeunes a mis en place une démarche d’accompagnement des jeunes aux pratiques actuelles pour l’informatique et le numérique:
Cette rencontre a lieu sur rendez-vous, tous les samedis matin hors vacances scolaires à la Maison communale de la ferme Dupire, rue Yves Decugis à VILLENEUVE D’ASCQ
La PyConFR est une conférence, de quatre jours, organisée par l’AFPy.
Cette conférence est gratuite, entièrement gérée par des bénévoles et dédiée au regroupement des personnes intéressées par le langage de programmation Python.
Les deux premiers jours sont dédiés aux sprints où les développeurs et développeuses de différents projets open source se rejoignent pour coder ensemble. Chaque personne est la bienvenue pour contribuer, et nous cherchons également à accompagner les débutantes.
Les deux jours suivants sont dédiés aux conférences et ateliers sur des sujets variés, autour du langage Python.
Un ordinateur qui rame, qui refuse de démarrer ou qui est cassé, venez le réparer en notre compagnie.
Marre de Windows qui vous force la main à changer d’ordi pur sa nouvelle version. Envie d’un peu de liberté, venez le libérer!
Atelier réalisé à Hyperlien avec l’association PiNG
🤔 Vous avez des questions sur Scenari avant de tester ?
🧙♂️ Vous voudriez une petite démo ?
🚀 Vous commencez à utiliser Scenari et vous avez besoin d’un peu de soutien ?
Cette visio est faite pour vous 🤩
Dans le cadre de la stratégie Rev3 de la ville de Fourmies est né le Central, Carrefour de la Résilience.
À ce titre, l’Association Club Linux Nord Pas-de-Calais organise un atelier « Adieu Windows, bonjour Linux!! »
La prise en charge de Windows 10 se termine le 14 octobre 2025.
Microsoft veut que vous achetiez un nouvel ordinateur.
Mais que se passerait-il si vous pouviez rendre votre ordinateur actuel rapide et sécurisé à nouveau?
Venez découvrir les alternatives à Widows et prolonger la vie de votre ordinateur grâce au logiciel libre et aux distributions GNU/Linux.
Au programme:
Autant de questions auxquelles nous pourrons apporter des réponses!
Comme Alice aux Pays des Merveilles, venez plonger dans le trou du lapin qui vous mènera vers la liberté!
La Coollégiale, c’est le moment où les bénévoles actifs se retrouvent afin de préparer la suite de la vie de l’association, discuter des projets et prendre les décisions 👍 Cet instant est ouvert à toute personne intéressée d’en apprendre plus sur le fonctionnement de l’association et voulant s’investir avec nous dans sa vie quotidienne 😄
Tous les vendredis après-midi, venez nous rencontrer lors de nos cafés-conseils et repairs-cafés!
Nous faisons découvrir les logiciels et systèmes libres (et gratuits !)
Plus de Télémétrie, de PC ralentis, une meilleure stabilité et sécurité,
Moins de virus et finie l’obsolescence programmée !
Nous utilisons essentiellement le système Aciah-Linux., mais nous travaillons aussi sur Windows. Matériel : ordinateur, tablette, téléphone.
Nous avons des ateliers d’aide informatique et un projet spécifique aux personnes déficientes visuelles.
1 – des ateliers d’initiation :
Après un temps de préparation et d’organisation, les ateliers dans les communes ont commencé le 2 octobre 2018 et se poursuivent, dans des salles communales, ou chez certaines personnes qui ont la place de nous accueillir (merci à elles !), ou dans notre salle informatique itinérante. Nous réalisons ainsi un de nos objectifs : créer du lien social.
Il est nécessaire de s’inscrire au 06 50 04 98 95 pour Châteaubriant et Région
et au 06 87 50 95 23 pour l’ensemble des Pays de La Loire.
Les dates sont à prendre sur l’agenda de la région de Châteaubriant ou sur l’agenda Pays de Loire et Nord Vendée
2 – Rencontres Déficients visuels
Depuis octobre 2019, mise en place d’ateliers d’informations et d’échanges mensuels pour aider les personnes déficientes visuelles à trouver et expérimenter les aides possibles. Ateliers très appréciés !
Nous avons bien l’habitude et la capacité d’accompagner des personnes à distance. Si nécessaire nous allons à domicile par exemple pour connexion en wifi et installation d’une imprimante si cette dernière n’est pas transportable.
REVOL, association engagée dans la promotion des logiciels libres, propose tous les samedis matin, de 9h à 12h, une permanence associative ouverte à toustes, pour se pencher sur les difficultés rencontrées par chacun·e dans son usage de l’outil numérique.
Dans les cadres de la fin de la maintenance de sécurité de windows 10, nous axons ces permanences sur le passage en toute sécurité vers des systèmes d’exploitation libres (Ubuntu, Linux Mint…). Nous proposons un accompagnement complet pour assurer une transition vers le libre la plus sereine possible.
N’hésitez pas à venir nous voir à la Maison des associations de Chaumont, en Haute-Marne. Ce sera l’occasion d’en apprendre plus sur le numérique et de découvrir comment maitriser son ordinateur pour l’utiliser en toute sécurité.
Un monde plus libre, loin des techno-fascistes ça serait 🦉 quand même, non ?
REVOL est là pour y contribuer !
Le premier samedi de chaque mois (sauf août et septembre), de 9h30 à 18h, nous organisons une journée porte ouverte pour présenter notre association et son but.
Lors de cette journée vous êtes invités à venir nous rencontrer pour découvrir les possibilités des logiciels libres.
Venez aider ou vous faire aider à installer et paramétrer des logiciels libres ou une distribution GNU/Linux.
Venez avec vos questions, vos souhaits, vos matériels (et accessoires), nous verrons ensemble comment y répondre.**
Nous acceptons le don de Matériels informatique (surtout portable), Tablette et Smartphone, de préférence avec leur alimentation / chargeur / câbles / accessoires…
Le Wiki pour vous aider à passer au Libre: https://wiki.llv.asso.fr/doku.php
Sur les Arnaques, les Menaces et la Sécurité: https://wiki.llv.asso.fr/doku.php?id=wiki:securite:menaces
Pour le déjeuner, une participation vous sera demandé.
IMPORTANT: Lisez la "Préparation pour l’installation": https://wiki.llv.asso.fr/doku.php?id=wiki:installer:preparation\_installation][32940_3]
Localisation précise: https://www.openstreetmap.org/note/4365747
À 3 minutes du Métro (13) Malakoff Plateau de Vanves, passez par le tunnel.
Présentation de l’E2L
Quel est le rôle de l’école du logiciel libre?
Tout d’abord, ce n’est pas une école comme les autres. Elle n’a pas d’établissement fixe, pas de cours de récréation, pas de carte d’étudiant, ni de diplôme de fin d’année.
Comme toutes les écoles, son rôle est d’apprendre à ses élèves les logiciels libres, c’est-à-dire:
En fait, l’école du logiciel libre est une université populaire, comme celles qui ont vu le jour en France à partir du 19ᵉ siècle, et dont le but est de transmettre des connaissances théoriques ou pratiques à tous ceux qui le souhaitent. Et pour atteindre ce but, sa forme juridique est de type « association à but non lucratif ».
Comment fonctionne l’école?
Cette école étant une association, elle possède, comme toutes les autres, un bureau, élu chaque année en assemblée générale, pour l’administrer. Mais elle a aussi des responsables pédagogiques dont le rôle est essentiel, car ce sont eux qui établissent les programmes des cours en fonction des souhaits des adhérents, valident les candidatures des enseignants et affectent les sessions.
Les membres du bureau et les responsables pédagogiques forment « l’encadrement de l’école ». Tous les membres “encadrants” doivent être membres de l’association.
Les locaux où se déroulent les cours seront ceux que l’on veut bien nous prêter: une salle des fêtes, un théâtre, une salle de réunion publique, un amphi dans une école publique, ou autre.
Les thèmes des cours sont définis par les adhérents en fonction de leurs envies, de leurs besoins. Les cours sont ensuite décidés par les responsables pédagogiques de l’école en fonction des enseignants disponibles.
Afin de permettre au plus grand nombre de participer et d’assister aux cours, les sessions se tiennent essentiellement le samedi. Une première, sous forme d’atelier public, de 10h30 à 13h, et une autre, sous forme de cours, de 14h30 à 18h30.
Programme détaillé sur le site http://e2li.org
Le CULTe est le « Club des utilisateurs de logiciels libres et de gnu/linux de Toulouse et des environs ».
Le samedi après-midi tous les quinze jours, le CULTe organise un atelier d’informatique “libre”, de 14h à 18h.
La séance est consacrée à l’information du public, à l’entraide entre membres et/ou visiteurs pour notamment installer et configurer des logiciels libres, système d’exploitation (“Linux”) ou “applications” (programmes informatiques).
L’entraide peut également concerner le matériel afin d’aider le membre ou le visiteur à faire évoluer son ordinateur (ajout de mémoire, changement de disque dur…), étant précisé que si des avis peuvent être bien entendu émis pour de tels achats, le CULTe quant à lui n’a aucune activité commerciale, directe ou indirecte.
Chacun peut amener son ordinateur pour la séance. S’il s’agit d’une « Unité Centrale » (Ordinateur de bureau) il est inutile d’amener également écran clavier et souris, qui peuvent être prêtés sur place. Au besoin nous pourrons aussi vous prêter une machine pour la séance.
Afin de préparer votre venue, nous vous recommandons vivement de nous prévenir par courrier électronique en expliquant succinctement votre projet ou votre besoin à l’adresse suivante:
L’adhésion au CULTe n’est en aucun cas obligatoire pour participer à nos activités.
NB: Les personnes qui souhaitent adhérer ou faire un don peuvent bénéficier d’un reçu fiscal en vue d’une réduction d’impôt (66% en 2022) notre association étant reconnue « Organisme d’Intérêt Général » éligible au mécénat. (Rescrit fiscal 2021/228)
PS: Notre club met à la disposition de tous une liste de discussion dédiée à l’informatique “libre”: « linux-31@o2.culte.org ». Vous pouvez sans attendre demander à y être inscrit pour, le cas échéant, y exposer une difficulté et bénéficier de l’aide et de conseils d’une centaine de participants. Il vous suffit de vous rendre à cette adresse et d’y formuler votre demande d’inscription:
https://sympa.culte.org/sympa/subscribe/linux-31?previous_action=info
Toutes les informations sont sur https://premier-samedi.org
Plan des salles: https://premier-samedi.org/IMG/png/plancarrnum.png
Venez aider ou vous faire aider à installer et paramétrer des logiciels libres et toute distribution GNU/Linux ou Android avec les associations d’utilisateurs de Fedora, Mageia, Ubuntu, Debian pour GNU/Linux ; et Replicant, LineageOS, f-droid pour Android, sur netbook, portable, tour, PC/Mac, ou smartphone, éventuellement à côté de votre système actuel. Idem si vous avez des difficultés avec GNU/Linux, un périphérique, un logiciel libre, ou avec des logiciels libres sous Android.
Apéro/dîner dans un lieu à déterminer sur place
Cité des sciences et de l’industrie; Carrefour Numérique niveau -1, Cité des sciences et de l’industrie; Carrefour Numérique niveau -1, 30 avenue Corentin Cariou, Paris, Île-de-France, France
https://parinux.org/Premier-Samedi-du-Libre-du-1ᵉʳ-novembre-2025
parinux, psl, install-party, logiciels-libres, gnu-linux, premier-samedi-du-libre, adieu-windows
L’association AviGNU tiendra exceptionnellement une permanence tous les samedis du mois de novembre de 14h à 16h dans les locaux d’Avenir 84.
Cela afin d’essayer de s’adapter à une certaine affluence liée au bouche-à-oreille, qui visiblement semble fonctionner:-), entre autres dans le cadre de l’opération "Adieu Windows, bonjour le Libre!".
N’hésitez pas à venir nous rencontrer pour découvrir les logiciels et systèmes d’exploitation libres… et pourquoi pas vous aussi envisager une installation!
Repair-café, atelier informatique, etc.
Tous les premiers dimanches du mois de 10h à 14h (ou plus)
Au centre social “Mosaïque” (à proximité de la gare routière)
Une équipe de bénévoles vous accueillera et tentera, avec vous, de diagnostiquer la panne et de réparer vos objets.
Dans une démarche économique, écologique et sociale.
Commentaires : voir le flux Atom ouvrir dans le navigateur
N. D. T. — Ceci est une traduction de la lettre d’information publiée régulièrement par l’équipe de communication de la XSF, essayant de conserver les tournures de phrase et l’esprit de l’original. Elle est réalisée et publiée conjointement sur les sites XMPP.org et LinuxFr.org selon une procédure définie.
Bienvenue dans la lettre d'information XMPP, ravi de vous retrouver ! Ce numéro couvre le mois de septembre 2025.
Comme tout autre projet accompli par la XSF, cette lettre d'information est le résultat du travail volontaire de ces membres et des personnes y contribuant. Si vous êtes satisfait des services et logiciels que vous utilisez peut-être, n'hésitez pas à dire merci ou aider ces projets.
Vous souhaitez contribuer à l'équipe de communication de la XSF ? Lisez en bas de page.
Si vous souhaitez devenir membre de la XMPP Standards Foundation, veuillez envoyer votre candidature avant le 23 novembre 2025, 00h00 UTC.
La XMPP Standards Foundation lance un appel à candidatures pour composer le Conseil d'administration et le Conseil XMPP pour la période 2025/2026. Si vous souhaitez vous présenter au Conseil d'administration ou au Conseil XMPP, veuillez ajouter une page wiki concernant votre candidature à l'une ou aux deux sections susmentionnées avant le 2 novembre 2025, 00h00 UTC.
Participez aux décisions de l'organisation XMPP Standards Foundation et aux spécifications que nous publions !
Remarque : les membres du Conseil XMPP doivent être des membres élus de la XSF ; cependant, il n'y a pas de restriction de ce type pour le Conseil d'administration.



La XMPP Standards Foundation développe des extensions à XMPP dans sa série de XEP en plus des RFC XMPP. Des développeuses, développeurs et autres personnes expertes en normes du monde entier collaborent à ces extensions, élaborant de nouvelles spécifications pour les pratiques émergentes et affinant les méthodes existantes. Proposées par n'importe qui, celles qui remportent le plus de succès finissent par être classées comme finales ou actives, selon leur type, tandis que les autres sont soigneusement archivées comme différées. Ce cycle de vie est décrit dans la XEP-0001, qui contient les définitions formelles et canoniques des types, des états et des processus. Vous pouvez en savoir plus sur le processus de normalisation ici. La communication autour des normes et des extensions se fait via la liste de diffusion des normes (archives en ligne).
Le processus de développement d'une XEP commence par la rédaction d'une idée et sa soumission à l'éditeur XMPP. Dans un délai de deux semaines, le Conseil décide d'accepter ou non cette proposition en tant que XEP expérimentale.
Si une XEP expérimentale n’est pas mise à jour pendant plus de douze mois, elle sera retirée de la catégorie Expérimentale pour être classée comme Déférée. Si une mise à jour intervient, la XEP sera replacée dans la catégorie Expérimentale.
Les derniers appels sont lancés une fois que tout le monde semble satisfait de l'état actuel d'une XEP. Une fois que le Conseil a décidé que la XEP semble prête, l'Éditeur XMPP émet un dernier appel pour recueillir des commentaires. Les commentaires recueillis lors du dernier appel peuvent aider à améliorer la XEP avant de le renvoyer au Conseil pour qu'il passe à l'état Stable.
De nouveaux salons et canaux publics sont créés quotidiennement sur le réseau XMPP. Si vous êtes à la recherche de nouvelles discussions intéressantes auxquelles vous joindre, n'hésitez pas à consulter le moteur de recherche de canaux publics pour trouver des groupes ou des communautés qui partagent vos centres d'intérêts !
Veuillez partager cette nouvelle sur d'autres réseaux :
Consultez également notre flux RSS !
Vous recherchez des offres d'emploi ou souhaitez engager un consultant professionnel pour votre projet XMPP ? Consultez notre tableau d'affichage des offres d'emploi XMPP.
Il s'agit d'un effort communautaire, et nous tenons à remercier les traductrices et traducteurs pour leur contribution.
Les bénévoles et les nouvelles langues sont les bienvenues !
Les traductions de la lettre d'information XMPP seront publiées ici (avec un certain retard) :
Contributeurs à la newsletter de ce mois-ci :
emus, Badri Sunderarajan, cal0pteryx, Gonzalo Raúl Nemmi, Kris « poVoq », Ludovic Bocquet, XSF iTeam
Contributeurs à la traduction :
Cette newsletter XMPP est produite en collaboration avec la communauté XMPP. Chaque numéro mensuel est rédigé dans ce simple pad. À la fin de chaque mois, le contenu du pad est fusionné dans le dépôt GitHub de la XSF. Nous sommes toujours ravis d'accueillir de nouvelles personnes contributrices. N'hésitez pas à rejoindre la discussion dans notre groupe de discussion Comm-Team (MUC) et à nous aider ainsi à poursuivre cet effort communautaire. Vous avez un projet et souhaitez le faire connaître ? N'hésitez pas à partager vos actualités ou événements ici, et à les promouvoir auprès d'un large public.
Tâches que nous effectuons régulièrement :
La XSF propose un hébergement fiscal pour les projets XMPP. Veuillez postuler via Open Collective. Pour plus d'informations, consultez l'article de blog annonçant cette initiative. Projets actuels que vous pouvez soutenir :
Cette lettre d'information est publiée sous licence CC BY-SA.
Commentaires : voir le flux Atom ouvrir dans le navigateur
Dans le cadre de sa série de webinaires "Open Source by OW2", la parole sera donnée aux projets CryptPad et Decidim, le jeudi 6 novembre à 16h00.

Cette série de webinaires « Open Source by OW2 » est dédiée aux innovations open source, aux projets et à la communauté OW2, ainsi qu’aux opportunités de financement open source dont le programme européen NGI. Découvrez de nouveaux projets, des technologies, de l’innovation, des modèles ouverts au sens large (science/données/matériel/éducation/normes/protocoles/etc.), mais aussi des biens communs numériques, des financements, des modèles économiques, de la coopération et de l’impact social. Chaque webinaire mettra en avant un projet OW2 et un projet financé par NGI.
Agenda :
L’inscription est gratuite mais obligatoire. Les présentations ont lieu en anglais. N’hésitez pas à diffuser l’invitation pour la session du 6 novembre.
Commentaires : voir le flux Atom ouvrir dans le navigateur
Cocotb, le cadriciel libre de vérification matérielle en Python, vient de publier sa version majeure 2.0. Cette sortie marque une étape importante dans l’évolution de ce projet qui permet de tester des circuits numériques décrits en VHDL ou Verilog directement depuis Python, sans avoir à écrire de testbench en HDL.
Pour celles et ceux qui ne connaissent pas encore cocotb, il s’agit d’un outil qui facilite grandement la vie des personnes travaillant sur la conception de circuits intégrés. Plutôt que d’écrire des bancs de test complexes en VHDL ou Verilog, cocotb permet d’utiliser Python et son écosystème riche (NumPy, pytest, etc.) pour vérifier le comportement des circuits. 
Cocotb (Coroutines-based Cosimulation Test-Bench) permet d’écrire en python des bancs de test qui vont piloter directement le simulateur HDL via différentes interfaces (VPI, VHPI, FLI). La plupart des simulateurs HDL du marché sont supportés, qu’ils soient libres ou non.
Comme l’indique le numéro de version, cocotb 2.0 introduit des changements incompatibles avec les versions précédentes. L’équipe de développement a profité de cette version majeure pour nettoyer l’API, supprimer du code obsolète et moderniser l’architecture du projet. Un guide de migration détaillé est disponible pour accompagner la transition.
La transition vers cocotb 2.0 nécessite quelques adaptations du code existant :
Suppression des coroutines à base de générateurs : La syntaxe yield (avec le décorateur @cocotb.coroutine) a été supprimée. Il faut désormais utiliser exclusivement la syntaxe moderne async/await.
Nouvelles conventions de nommage : Les variables d’environnement ont été renommées pour éviter les conflits avec les simulateurs. Par exemple, MODULE devient COCOTB_TEST_MODULES, TOPLEVEL devient COCOTB_TOPLEVEL, etc.
Changements dans les types de données : Les objets BinaryValue ont été remplacés par LogicArray, offrant une API plus cohérente et moderne pour manipuler les valeurs logiques.
Modifications des déclencheurs : L’objet Join est devenu obsolète au profit d’une utilisation directe des tâches. La syntaxe await task.join() devient simplement await task.
La nouvelle version apporte des gains de performance significatifs, notamment grâce à l’implémentation en C++ d’un générateur d’horloge (GpiClock). Cette optimisation réduit les échanges entre Python et l’interface GPI, permettant des simulations plus rapides, particulièrement pour les designs utilisant de nombreuses horloges.
Cocotb 2.0 élargit sa prise en charge des simulateurs commerciaux et libres :
qisqrun utilisant le Questa Information System pour de meilleures performances--timing est maintenant pleinement fonctionnelL’API de gestion des tâches a été modernisée pour s’aligner sur celle d’asyncio` :
# Nouvelle fonction pour démarrer une tâche
cocotb.start_soon(ma_coroutine())
# Nouveau déclencheur pour attendre la fin d’une tâche
await task.complete  # au lieu de await Join(task)
# Annulation de tâches
task.cancel()  # au lieu de task.kill()
# Variables locales aux tâches
task.locals.ma_variable = valeur
Cocotb 2.0 enrichit les possibilités d’interaction avec les signaux HDL :
Immediate permet d’effectuer des assignations immédiatesset() : Une alternative à la propriété value avec un typage plus strictForce, Freeze, Release et Deposit pour un contrôle fin des signaux# Différents types d’assignations
dut.signal.set(42)                    # Assignation normale
dut.signal.set(42, Immediate())       # Assignation immédiate
dut.signal.set(42, Force())           # Forcer une valeur
dut.signal.set(Release())             # Libérer un signal forcé
Cocotb 2.0 intègre maintenant mypy dans son processus de CI, garantissant une meilleure qualité du typage. Les utilisateurs bénéficient ainsi d’une meilleure expérience avec les IDE modernes et les vérificateurs de types.
@cocotb.parametrize
Un nouveau décorateur simplifie la création de tests paramétrés, offrant une alternative plus moderne à TestFactory :
@cocotb.parametrize(
    width=[8, 16, 32],
    signed=[True, False]
)
@cocotb.test()
async def test_additionneur(dut, width, signed):
    # Test avec différentes combinaisons de paramètres
    pass
Le système de logging a été revu pour être moins intrusif :
COCOTB_LOG_PREFIX pour personnaliser le préfixe des logsGPI_LOG_LEVEL
LogRecord
La version 2.0 enrichit considérablement le module cocotb.types :
LogicArray : Représentation des tableaux de valeurs logiques avec gestion des états X, Z, etc.Logic : Valeur logique unique avec gestion des 9 états VHDLto_signed(), to_unsigned(), to_bytes(), from_bytes() pour faciliter les conversionsfrom cocotb.types import LogicArray, Range
# Création d’un tableau logique
data = LogicArray("10XZ01", Range(5, "downto", 0))
# Conversions
valeur_entier = data.to_unsigned(resolve=True)
octets = data.to_bytes()
Le flux de test Python (Python Test Runner), introduit expérimentalement en version 1.8, est maintenant mature et constitue l’alternative recommandée au système de Makefile traditionnel. Il permet une intégration plus naturelle avec pytest et simplifie la configuration des simulations.
Cocotb 2.0 introduit des files d’attente compatibles avec asyncio (Queue, PriorityQueue, LifoQueue), facilitant la communication entre coroutines.
L’accès aux packages SystemVerilog est maintenant possible via cocotb.packages, permettant d’interagir avec les définitions globales du design.
Cette version majeure représente un investissement conséquent de l’équipe de développement. Pour les utilisatrices et utilisateurs existants, la migration nécessitera quelques ajustements, mais les bénéfices en termes de maintenabilité et de performances en valent la peine.
Le projet cocotb, développé principalement par la communauté et utilisé dans l’industrie comme dans l’enseignement, continue de démontrer la pertinence de l’approche Python pour la vérification matérielle. Cette version 2.0 consolide les bases pour les évolutions futures.
Pour les personnes qui débutent avec cocotb, c’est le moment idéal pour se lancer : la documentation a été revue, les exemples mis à jour, et l’API est désormais plus cohérente.
Cette version est le fruit du travail de nombreuses personnes contributrices. Le projet est hébergé sur GitHub et accepte volontiers les contributions, qu’il s’agisse de code, de documentation ou de retours d’expérience.
Les prochaines versions devraient continuer à améliorer les performances, étendre la prise en charge des simulateurs et enrichir l’écosystème de bibliothèques de vérification. La communauté cocotb est active et accueillante, n’hésitez pas à la rejoindre !
Commentaires : voir le flux Atom ouvrir dans le navigateur
Calendrier Web, regroupant des événements liés au Libre (logiciel, salon, atelier, install party, conférence), annoncés par leurs organisateurs. Voici un récapitulatif de la semaine à venir. Le détail de chacun de ces 48 événements (France: 45, Internet: 1, Suisse: 2) est en seconde partie de dépêche.
Tous les lundis de 10h à 17h sans interruption, l'association Prends toi en main / atelier abcpc, propose install party, suivi, dépannage, formation et revalorisation à petit prix sous Linux exclusivement.
L'atelier abcpc existe depuis plus de 10 ans et milite exclusivement pour les logiciels libres.
Au cours de cette rencontre, nous commencerons par une brève présentation de nos organisations et des intervenants qui animeront nos échanges et ce groupe. Nous présenterons ensuite les définitions de l’IA générative, en mettant en évidence la distinction entre les modèles fermés, souvent associés à des dynamiques de monopole et d'opacité, et les modèles ouverts, qui encouragent l'innovation, la transparence et la collaboration au sein de la communauté.
L'impact de l'intelligence artificielle sera au cœur de nos discussions, car ces aspects sont essentiels pour encadrer l'utilisation éthique et responsable des contenus générés par celle-ci. Nous examinerons ensemble l'intérêt d'exploiter des solutions d’IA générative ouvertes tout en restant conscients de leurs limitations et des défis qu'elles posent.
Alors, vous l’aurez compris, c'est évidement une occasion particulièrement intéressante de prendre part à un dialogue constructif pour orienter les travaux, recherches et expérimentations de ce groupe.
https://montpellibre.fr/groupia/index.html
L'émission Libre à vous! de l'April est diffusée chaque mardi de 15 h 30 à 17 h sur radio Cause Commune sur la bande FM en région parisienne (93.1) et sur le site web de la radio.
Le podcast de l'émission, les podcasts par sujets traités et les références citées sont disponibles dès que possible sur le site consacré à l'émission, quelques jours après l'émission en général.
Les ambitions de l'émission Libre à vous!
Découvrez les enjeux et l’actualité du logiciel libre, des musiques sous licences libres, et prenez le contrôle de vos libertés informatiques.
Donner à chacun et chacune, de manière simple et accessible, les clefs pour comprendre les enjeux mais aussi proposer des moyens d'action, tels sont les objectifs de cette émission hebdomadaire.
L'émission dispose:
Radio Cause Commune, Radio Cause Commune, Internet
Cet atelier est annulé.
Chacun de nous peut prendre part aux progrès de la science.
Quels que soient vos diplômes, découvrez et contribuez avec nous à divers projets de sciences participatives, s'inscrivant dans la culture libre, au cours des ateliers proposés par le Laboratoire Sauvage.
Sujet du jour:
Présentation suivie d’un atelier pratique contributif.
Pour nous trouver, c'est chaque 3ᵉ mardi du mois, mêmes lieu et horaires.
Rendez-vous hebdomadaire le mardi à partir de 18h00
Discussion entre contributeurs lyonnais du projet OSM et acteurs intéressés.
Toute personne intéressée par OpenStreetMap peut s'intégrer à cette rencontre, tout particulièrement les débutants qui souhaiteraient des conseils pour se lancer.
Ordre du jour à compléter: https://wiki.openstreetmap.org/wiki/FR:Lyon/Reunion-2025-10-21
Lieu de réunion: Tubà, 15 boulevard Vivier-Merle, Lyon 3e.
La permanence d'ADeTI est un moment d'accueil avec des bénévoles pour apprendre à utiliser un ordinateur sous GNU/Linux (Ubuntu, Linux Mint, Debian,…) mais aussi:
Mais c'est aussi un moment consacré pour:
Nous accueillons également des membres de l'association ALFA-Net et A-Hébergement qui peuvent répondre aux questions concernant Internet, les réseaux et l'hébergement: connexion à Internet, alternatives aux "Box" et aux opérateurs/FAI commerciaux, Neutralité du Net, Vie Privée, Blog, Site Internet/Web,…
La Guilde vous propose deux ateliers en une soirée: install party ET rencontre Fairphone
  à la Turbine.coop (entrée par le côté droit du bâtiment).
Vous en avez assez d’être dépendants des OS et logiciels propriétaires (Android, Microsoft, etc.)?
Cet atelier est pour vous! Repartez à la fin de la soirée avec votre propre machine fonctionnant sous un nouveau système d’exploitation, correctement installé, configuré et agrémenté de nombreux logiciels (essentiellement libres!).
C’est aussi l’occasion pour les utilisateurs de FairPhone de partager leurs expériences. Ce mois-ci, un Fairphone 3, un Fairphone 4 et un Fairphone 5 avec /e/OS installé seront disponibles pour démonstration.
Merci de sauvegarder vos données si vous apportez votre machine!
Assistance technique et démonstration concernant les logiciels libres.
Il est préférable de réserver votre place à contact (at) linuxmaine (point) org
Planning des réservations consultable ici.
Des ateliers numériques pour:
    analyser vos ordinateurs
    sauvegarder vos données personnelles
    installer le nouveau système d exploitation (vous choisissez la distribution Linux qui vous convient le mieux)
    installer des logiciels libres
    apprendre à utiliser les logiciels
Chaque mercredi soir, l'association propose une rencontre pour partager des connaissances, des savoir-faire, des questions autour de l'utilisation des logiciels libres, que ce soit à propos du système d'exploitation Linux, des applications libres ou des services en ligne libres.
C'est l'occasion aussi de mettre en avant l'action des associations fédératrices telles que l'April ou Framasoft, dont nous sommes adhérents et dont nous soutenons les initiatives avec grande reconnaissance.
Le groupe local Nancy de l’association OpenStreetMap France vous propose de participer aux réunions mensuelles ouvertes à tou·te·s !
Avec OpenStreetMap, participez à la construction d’une carte en ligne libre et gratuite, partagée avec le monde entier!
Participation aux ateliers
Le lieu la Fabrique des possibles nous est librement accessible lors de nos réunions.
Si vous souhaitez participer à distance, cela est possible depuis ce lien. Toutefois merci de nous en avertir pour que nous nous organisions en nous équipant et installant le matériel nécessaire.
L'Association Club Linux Nord Pas de Calais organise chaque mois une permanence Logiciels Libres ouverte à tous, membre de l'association ou non, débutant ou expert, curieux ou passionné.
Durant cette permanence, vous pourrez trouver des réponses aux questions que vous vous posez au sujet du Logiciel Libre, ainsi que de l'aide pour résoudre vos problèmes d'installation, de configuration et d'utilisation de Logiciels Libres.
N'hésitez pas à apporter votre ordinateur, afin que les autres participants puissent vous aider.
Dans une salle équipée d'un tableau blanc et d'un vidéoprojecteur, se dérouleront fréquemment des ateliers, des initiations, des discussions, des tests, des démonstrations, de l'entraide abordant le logiciel libre et de la dégustation de bières.
Cette permanence a lieu à l'EPN (Espace Public Numérique), 311 rue Salvador Allende à Cysoing.
Discussion entre contributeurs.trices viennois.es du projet OSM et acteurs intéressés.
Toute personne intéressée par OpenStreetMap peut s'intégrer à cette rencontre, tout particulièrement les débutant.e.s qui souhaiteraient des conseils pour se lancer.
Ordre du jour à compléter: https://wiki.openstreetmap.org/wiki/FR:Vienne_(Isère)/Reunion-2025-10-22
Lieu de réunion: Ninkasi, 15, impasse du Rond Point, 69210 Saint-Romain-en-Gal - à partir de 18h30
Ce meetup se fait avec le groupe PostgreSQL. Au programme, une présentation Python et une présentation PostgreSQL !
Pas d’algo, pas de bug, pas de bug pas de problème. Pas de problème … pas de problème
Vous arrive t'il parfois de devoir résoudre des problèmes ? Que ce soit des Sudoku, des échecs, le placement de table de votre mariage ou encore un emploi du temps aux contraintes interchangeables ? En tant que développeureuses, vous devez probablement créer des algorithmes pour répondre à ces problèmes pour vous.
C'est là que les problèmes commencent: un algorithme, cela devient vite complexe, fouillis, illisible, surtout sur des problèmes aux nombreuses contraintes.
Ce que je vous propose, c'est de venir découvrir des outils pour répondre à ces soucis de manière déclarative et épurée, avec des outils disponibles en python ! Pas la peine de déterrer un langage au paradigme obscur, vous serez comme à la maison.
ORM or Not ?
Comment communiquer avec votre base de données et votre DBA… et duquel vous pouvez vous passer ?
Dans l’écosystème Python + PostgreSQL, les ORMs (SQLAlchemy, Django ORM…) suscitent autant d’enthousiasme que de critiques.
Côté développeurs, ils apportent productivité, lisibilité et simplicité dans la gestion des modèles. Côté DBAs, ils sont accusés de générer des requêtes inefficaces, de masquer la puissance de PostgreSQL et de compliquer l’optimisation.
Ayant été témoin de ce débat depuis longtemps, j’ai décidé d’explorer le sujet, de vous présenter quelques points trouvés et d’attiser les braises pour l’apéro qui va suivre 😈
Après ces deux présentations, un moment d’échange a lieu. Amenez ce que vous voulez partager à boire ou à manger et comportez vous en accord avec la charte de l'AFPy !
Un ordinateur qui rame, qui refuse de démarrer ou qui est cassé, venez le réparer en notre compagnie.
Marre de Windows et envie d'un peu de liberté, venez le libérer!
Un ordinateur qui rame, qui refuse de démarrer ou qui est cassé, venez le réparer en notre compagnie.
Marre de Windows et envie d'un peu de liberté, venez le libérer!
Venez découvrir Linux et vous faire aider pour l’installer et à la prise en main, dans différents lieux, dans et à proximité de la métropole montpelliéraine
L’équipe de Montpel’libre vous propose une permanence Logiciels Libres : discussions libres et accompagnements techniques aux systèmes d’exploitation libres pour vous aider à vous familiariser avec votre système GNU/Linux au quotidien.
Le contenu de l’atelier s’adapte aux problèmes et aux questionnements des personnes présentes avec leur(s) ordinateur(s), qu’il soit fixe ou portable, et permet ainsi l’acquisition de nouvelles compétences nécessaires à une autonomie numérique certaine, au rythme de chacun.
Les thèmes :
Vous pourrez y aborder plusieurs thèmes (liste non exhaustive) :
Les permanences techniques ont lieu une fois par semaine, dans un lieu et à des jours et heures différents.
Entrée libre et gratuite sur inscription. Une simple adhésion à l’association est possible. Rejoindre le groupe Montpel’libre sur Telegram S’inscrire à la Newsletter de Montpel’libre.
Sur inscription | GPS 43.405195/3.695778
Fiche activité: https://montpellibre.fr/fiches_activites/Fiche_A5_002_Permanence_GNU-Linux_Montpellibre_2024.pdf
La fin prochaine du support de Windows 10 pousse au remplacement d’ordinateurs toujours fonctionnels. Pour éviter ça, les membres de l’association GEBULL vous proposent une soirée spéciale d’aide à l’installation de GNU/Linux sur votre ordinateur.
Nécessité de prendre le repas du midi au restaurant – maximum 15 €
Nous utilisons essentiellement le système Aciah-Linux., mais nous travaillons aussi sur Windows. Matériel : ordinateur, tablette, téléphone.
Nous avons des ateliers d’aide informatique et un projet spécifique aux personnes déficientes visuelles.
1 - des ateliers d’initiation :
Après un temps de préparation et d’organisation, les ateliers dans les communes ont commencé le 2 octobre 2018 et se poursuivent, dans des salles communales, ou chez certaines personnes qui ont la place de nous accueillir (merci à elles !), ou dans notre salle informatique itinérante. Nous réalisons ainsi un de nos objectifs : créer du lien social.
Il est nécessaire de s’inscrire au 06 50 04 98 95 pour Châteaubriant et Région
et au 06 87 50 95 23 pour l’ensemble des Pays de La Loire.
Les dates sont à prendre sur l’agenda de la région de Châteaubriant ou sur l’agenda Pays de Loire et Nord Vendée
2 - Rencontres Déficients visuels
Depuis octobre 2019, mise en place d’ateliers d’informations et d’échanges mensuels pour aider les personnes déficientes visuelles à trouver et expérimenter les aides possibles. Ateliers très appréciés !
Nous avons bien l’habitude et la capacité d’accompagner des personnes à distance. Si nécessaire nous allons à domicile par exemple pour connexion en wifi et installation d’une imprimante si cette dernière n’est pas transportable.
Médiathèque de Quimperlé, place Saint-Michel, pas d’inscription, entrée libre !
Mickaël, Johann, Alain, et Yves vous accueillent (ou l'un d'eux, on se relaie !).
Conseils, aide et infos pratiques GNU/Linux et Logiciels Libres.
Curieux ? Déjà utilisateur ? Expert ? Pour résoudre vos problèmes, vous êtes le bienvenu ; pas besoin de prendre rendez-vous !
N'hésitez pas à venir avec votre PC si vous voulez une installation de GNU/Linux ou de venir avec votre périphérique récalcitrant (imprimante, scanner…) si possible.
Tous les vendredis après-midi, venez nous rencontrer lors de nos cafés-conseils et repairs-cafés!
Nous faisons découvrir les logiciels et systèmes libres (et gratuits !)
Plus de Télémétrie, de PC ralentis, une meilleure stabilité et sécurité,
Moins de virus et finie l'obsolescence programmée !
Tous les 2ème et 4ème vendredis de chaque moi(sauf indisponibilité des membres) de 14h30 à 16h30 l'association Ailes-52 vous propose de venir au Café de la Gare à Nogent (52800) pour échanger autour de la découverte des Logiciels Libres.
Vous pourrez:
Répondez à cette question: Mon ordinateur ne pourra pas bénéficier de Windows 11, qu'est-ce que je peux faire pour continuer à l'utiliser, installer GNU/Linux sur mon ordi c'est possible?
Réparons ensemble:
Les Compagnons bâtisseurs, l'Association Défis et des bénévoles formés accompagnent les usagers dans la réparation de petit électroménager, ordinateur, couture etc., pour tenter de leur donner une seconde vie.
Aides réparateurs bénévoles bienvenus.
Un objet par participant. Sans rendez-vous.
Gratuit et ouvert à tous.
Café offert.
La prochaine rencontre des «samedis des libertés numériques» est organisée à la Maison de Quartier des Glacis (un vendredi !): rendez-vous à l'accueil. Le thème du jour est: «Installez GNU/Linux, rendez rapide votre ordinateur: contre l'obsolescence programmée par Windows 10».
En parallèle, selon les possibilités un logiciel libre sera mis en vedette: kdenlive, un éditeur pour monter vos vidéos.
Si vous apportez votre ordinateur (de bureau, ou portable), nous pouvons vous aider pas à pas, pour:
Ces rencontres du logiciel libre sont organisées les 3èmes samedis du mois. Elles sont l'occasion d'échanger, en toute simplicité, sur divers thèmes en rapport avec notre monde numérique, dans une structure attentive à nos libertés.
Tous les 4èmes vendredis après-midi du mois, l'association propose une rencontre pour partager des connaissances, des savoir-faire, des questions autour de l'utilisation des logiciels libres, que ce soit à propos du système d'exploitation Linux, des applications libres ou des services en ligne libres.
C'est l'occasion aussi de mettre en avant l'action des associations fédératrices telles que l'April ou Framasoft, dont nous sommes adhérents et dont nous soutenons les initiatives avec grande reconnaissance.
La communauté tourangelle vous propose une rencontre pour venir discuter et échanger avec d'autres contributeurs et contributrices à la base de données cartographique libre OpenStreetMap, des projets de cartographie en cours et autres envies de discussion en rapport.
Si besoin de discuter, nous sommes joignables sur le forum OSM dans ce sujet et par messagerie instantanée via Framateam
La réservation est au nom de Rémi si vous avez besoin d’aide à l’accueil du lieu.
Au plaisir de vous y croiser !
Durant cette rencontre, nous discuterons de comment créer et utiliser des mots de passe "sûr" et quel logiciel libre nous permettra de gérer et mémoriser ces mots de passe.
L'atelier est ouvert à tout le monde et il sera suivi d'un moment convivial autour d'un apéritif partagé, à 19h30.
Vous pouvez, si vous le souhaitez, venir soit pour le premier temps, soit pour le second temps ou encore pour les deux.
Au plaisir de vous rencontrer ou de vous retrouver.
--
Le local est accessible aux personnes à mobilité réduite
Il y a des arceaux à vélo à proximité
L'arrêt de bus le plus proche est: Cosmao Prétot, ligne 2B
L'arrêt de tramway le plus proche est: Polygone
L'OMJC organise avec l'Association Club Linux Nord Pas de Calais organise chaque samedi une permanence Logiciels Libres ouverte à tous, membre de l'association ou non, débutant ou expert, curieux ou passionné.
Le Centre d’Infos Jeunes a mis en place une démarche d’accompagnement des jeunes aux pratiques actuelles pour l’informatique et le numérique:
Logiciels libres (bureautique, sites, blogs, cloud, infographie et vidéo, musique, réseaux sociaux, chat…).
Lieu d’accès public à Internet ( 5 postes avec Wifi libre et gratuit )
Web collaboratif et citoyen pour que chacun puisse trouver sa place et passer du rôle de simple usager à celui d’initiateur de processus collaboratif
Éducation à l’information par les nouveaux médias ( diffusion par le biais du numérique )
Logiciels libres ( bureautique, sites, blogs, cloud, infographie et vidéo, musique, réseaux sociaux, chat, … ).
Cette rencontre a lieu sur rendez-vous, tous les samedis matins hors vacances scolaires à la Maison communale de la ferme Dupire, rue Yves Decugis à VILLENEUVE D’ASCQ
REVOL, association engagée dans la promotion des logiciels libres, propose tous les samedis matin, de 9h à 12h, une permanence associative ouverte à toustes, pour se pencher sur les difficultés rencontrées par chacun·e dans son usage de l'outil numérique.
Dans les cadre de la fin de la maintenance de sécurité de windows 10, nous axons ces permanences sur le passage en toute sécurité vers des système d'exploitation libres (Ubuntu, Linux Mint…). Nous proposons un accompagnement complet pour assurer une transition vers le libre la plus sereine possible.
N'hésitez pas à venir nous voir à la Maison des associations de Chaumont, en Haute-Marne. Ce sera l'occasion d'en apprendre plus sur le numérique et de découvrir comment maitriser son ordinateur pour l'utiliser en toute sécurité.
Un monde plus libre, loin des techno-fascistes ça serait 🦉 quand même, non ?
REVOL est là pour y contribuer !
En présentiel ou en visio, adresse du salon: https://url.oisux.org/samedi-du-libre
Un ordinateur qui rame, qui refuse de démarrer ou qui est cassé, venez le réparer en notre compagnie.
Marre de Windows et envie d'un peu de liberté, venez le libérer!
Le lab informatique de l'association Les Valoristes, en association avec Librezo et Electrocycle, organise leur premier atelier de l'année !
📆 Au programme:
Chaque participant repart avec un ordinateur portable Lenovo sous GNU/Linux.
Participation: 7€
Réservation obligatoire ici: https://www.helloasso.com/associations/les-valoristes/evenements/atelier-informatique-et-numerique-1
La médiathèque José Cabanis vous propose une journée consacrée à la culture du libre et ses différentes déclinaisons
A l'heure où la question du monopole de quelques grands groupes sur nos activités numériques et ses conséquences se fait de plus en plus presssante, les logiciels libres et les projets collaboratifs dessinent un autre chemin possible. La médiathèque José Cabanis vous propose une journée consacrée à la culture du libre et ses différentes déclinaisons durant laquelle d'ateliers en conférence vous pourrez rencontrer des acteurs de ce mouvement, poser vos questions et découvrir les possibilités offertes par cet autre versant du numérique.
Le programme:
- de 10h à 18h, Permanence d'aide et d'installation à Linux et aux logiciels libres avec Toulibre
- 10h30, Lecture du conte sous licence libre "Ada et Zangemann. Un conte sur les logiciels, le skateboard et la glace à la framboise"
- de 14h à 18h, Permanence Wikipédia et Openstreetmap.
- 15h, Conférence "La possibilité d'un numérique éthique" par Joyce Markoll
Testez Luanti, ex-minetest: créez votre monde de blocs, la seule limite est votre imagination !
dès 8 ans
Présentation de l'E2L
Quel est le rôle de l'école du logiciel libre?
Tout d'abord, ce n'est pas une école comme les autres. Elle n'a pas d'établissement fixe, pas de cours de récréation, pas de carte d'étudiant, ni de diplôme de fin d'année.
Comme toutes les écoles, son rôle est d'apprendre à ses élèves les logiciels libres, c'est-à-dire:
En fait, l'école du logiciel libre est une université populaire, comme celles qui ont vu le jour en France à partir du 19ème siècle, et dont le but est de transmettre des connaissances théoriques ou pratiques à tous ceux qui le souhaitent. Et pour atteindre ce but, sa forme juridique est de type "association à but non lucratif".
Comment fonctionne l'école?
Cette école étant une association, elle possède, comme toutes les autres, un bureau, élu chaque année en assemblée générale, pour l'administrer. Mais elle a aussi des responsables pédagogiques dont le rôle est essentiel car ce sont eux qui établissent les programmes des cours en fonction des souhaits des adhérents, valident les candidatures des enseignants et affectent les sessions.
Les membres du bureau et les responsables pédagogiques forment "l'encadrement de l'école". Tous les membres "encadrants" doivent être membres de l'association.
Les locaux où se déroulent les cours seront ceux que l'on veut bien nous prêter: une salle des fêtes, un théâtre, une salle de réunion publique, un amphi dans une école publique, ou autre.
Les thèmes des cours sont définis par les adhérents en fonction de leurs envies, de leurs besoins. Les cours sont ensuite décidés par les responsables pédagogiques de l'école en fonction des enseignants disponibles.
Afin de permettre au plus grand nombre de participer et d'assister aux cours, les sessions se tiennent essentiellement le samedi. Une première, sous forme d'atelier public, de 10h30 à 13h, et une autre, sous forme de cours, de 14h30 à 18h30.
Programme détaillé sur le site http://e2li.org
En partenariat avec Les sans pagEs, le deuxième texte, Violette&Co, Loreley Films propose un atelier Wikipédia et Wikisource à l’occasion de la Rétrospective sur Judit Elek (réalisatrice de documentaires) de la Cinémathèque du Documentaire.
Pour introduire la journée, nous relirons et mettrons en ligne sur Wikisource un texte de Germaine Dulac.
Nous allons écrire et étoffer des articles sur Judit Elek, mais aussi sur des réalisatrices oubliées ou méconnues, notamment de documentaires.
Nous invitons toutes les participantes à poursuivre la journée avec deux films, Un village hongrois et Une histoire simple, à 18h et 20h30 au Forum des Images.
Tous les niveaux bienvenus mais venez avec un ordinateur portable:)
Atelier d’entraide numérique
intergen
Un RdV mensuel, hebdo si on peut, dans votre quartier, où vous venez avec votre ordinateur, votre tablette, votre mobile, ou rien, avec un peu à boire et à manger.
Pourquoi venir ?
### Il est temps d’agir
Les adultes utilisent sans savoir, les enfants utilisent sans comprendre et les autres… se sentent dépassés.
Ensemble
De 7 à 107 ans, nous avons tous des questions, des envies et des doutes. Il est temps de les partager et d’y travailler ensemble.
Un samedi à la fois.
Avec nous
Des informaticiens, des geeks ou des passionnés. Prêts à partager, connaissances, coups de cœur et coups de gueules.
Surtout, prêts à aider, conseiller, échanger…
Comme chaque mois (enfin presque), nous nous retrouvons avec le collectif Garlatek le 2e samedi du mois de 14h à 18h pour un atelier Informatique dans le pays d'Aubagne:
Atelier logiciel libres : Découvrez avec d'autres les logiciels libres et gratuits pour votre ordinateur ou telephone pour mieux maîtriser le numérique (Ubuntu, Gimp, Android etc… )
Cercle de l'Harmonie, Cercle de l'Harmonie, 12 Cours Beaumond, Aubagne, Provence-Alpes-Côte d'Azur, France
ekimia, android, linux, logiciels-libres, atelier, garlatek, adieu-windows
L’association CercLL (CercLL d’Entraide et Réseau Coopératif autour des Logiciels Libres) vous invite à une install party GNU/Linux, le samedi 25 octobre 2025 de 14h00 à 18h00, dans la salle du Foyer du Peuple 50 rue Brandis 13005 Marseille.
Vous avez envie de découvrir un système d’exploitation libre, simple d’utilisation, stable, rapide et sécurisé. Une nouvelle façon d’utiliser votre ordinateur.
Vous vous sentez une affection naissante pour le Gnou et le Manchot, les mascottes de GNU/ Linux.
Au programme:
DÉCOUVERTE de l’univers des logiciels libres.
INSTALLATION d’un environnement GNU/ Linux, ainsi que le meilleur des logiciels libres.
Venez avec votre ordinateur, nous installerons ensemble une distribution GNU/Linux avec un ensemble de logiciels libres et gratuits pour une utilisation quotidienne.
Ouvert à tous – accessible aux débutant-e-s
Une participation de 2 euros est demandée.
L’adhésion à l’association est de 20 euros annuelle.(L’adhésion n’est pas obligatoire).
Windows 10 n’est plus supporté ? Votre PC s’essouffle ? Donnez-lui un coup de jeune !
Installons y Linux !
La prise en charge de Windows 10 se termine le 14 octobre 2025. Microsoft veut que vous achetiez un nouvel ordinateur.
Mais que se passerait-il si vous pouviez rendre votre ordinateur actuel à nouveau rapide et sécurisé ?
Si vous avez acheté votre ordinateur après 2010, il n’y a probablement aucune raison de le jeter. En installant simplement un système d’exploitation GNU / Linux récent, vous pouvez continuer à l’utiliser pour des années.
L’installation d’un système d’exploitation peut sembler difficile. Mais, vous n’avez pas à vous lancer tout seul ! Il y a des personnes prêtes à vous aider !
Au programme
Résistance à l'Agression Publicitaire et La Quadrature du Net vous invitent à leur après-midi de rencontre pour faire bugger la pub ! Nous y animerons un atelier artivisme, moment de créativité pour imaginer comment lutter contre l'envahissement de la publicité et notamment des panneaux numériques dans l'espace public. Nous proposerons aussi un atelier pour apprendre à contribuer à une cartographie géante et partagée des panneaux publicitaires.
Vous êtes les bienvenu·es à partir de 14h le samedi 25 octobre au Garage, 115 rue de Ménilmontant à Paris.
Nous (Lab Place, Hélios, la Ressourcerie) organisons un repair’café le dernier samedi du mois au Lab Place, à Oust.
Une équipe de bénévole est présente pour aider à réparer des objets cassés, installer Linux ou former à l’utilisation des logiciels libres/trouver des alternatives.
Lors des réparations, nous aidons au diagnostic, guidons pour réparer et servont de troisième main. La liste des objets pouvant être réparés dépend des bénévoles présents ce jour-là.
Idées de choses réparables:
Si la réparation n’est pas possible, nous aidons à trouver des solutions: changements de pièces(récup’ à la ressourcerie, occasions, neufs), ou bidouillage pour faire fonctionner autrement.
Côté informatique, nous prenons aussi le temps d’accompagner à l’utilisation de logiciels libres et de faire des installations de Linux (install party).
Cet événement est ouvert à tous, que ce soit pour réparer un objet, pour du logiciel libre ou simplement boire un café, le tout à prix libre.
Root66 vous propose une permanence Logiciels Libres.
À cette permanence, tu pourras bénéficier d'une aide et d'un accompagnement pour t'aider à installer, configurer des logiciels libres aussi bien sur PC que sur Smartphones.
Un vieux pc qui rame ? Besoin de faire une sauvegarde de tes données ? Envie de tester des applications opensource sur ton téléphone ou sur ton pc, mais tu as peur de tout casser et de ne pas y arriver seul.e. Pas de souci, l'équipe de root66 est là pour t'accompagner, à ton propre rythme !
Un des objectifs est de t'aider à reprendre le contrôle de tes données et d'apprendre pas à pas les bonnes pratiques à adopter pour sécuriser et améliorer ta vie privée numérique.
N'hésite pas à passer ! L'équipe de root66 sera ravie de t'accueillir ! 🤓
Programme (non exhaustif):
Entrée libre et gratuite 😎
⚠️Pour une installation Linux ou pour un besoin spécifique, afin de répondre au mieux à votre besoin, merci de bien vouloir remplir ce formulaire ⚠️
Sur place, l'adhésion à l’association est possible, mais non obligatoire.
Accès: Parking rue Raymond Lefebvre. RER C, Transilien N, Tram T13 — gare de Saint-Cyr.
L'évènement est une permanence des sans pagEs ouverte à tout public souhaitant s'informer ou apprendre à contribuer sur Wikipédia et les projets associés (wikidata, wikisource, commons, wikiquote) tout. en réduisant les biais de genre
Il est obligatoire de s'inscrire pour participer.
Cette séance est dédiée à la campagne She Said sur Wikiquote pour alimenter les entrées du jeu Francautrices qui sera présenté à Wikimania à Paris en 2026.
Atelier Informatique où l’on parle de tout, on répare des postes, on échange sur les bonnes pratiques et sur les astuces. On peut aussi faire des points plus précis sur des sujets selon les demandes des participants. On peut aussi redonner une 2ᵉ jeunesse à un ordinateur windows 10 en fin de vie en y installant Linux. De toute façon, on y parlera de Linux et des logiciels libres à un moment ou a un autre 🙂
Permanence GNU/LINUX, installation et maintenance par LINESS en partenariat avec le CIJ (Club informatique de Juvisy-sur-Orge).
Il s'agit d'une assistance pour vous aider à installer et utiliser LINUX, mais ce n'est pas un cours à proprement parler.
Aucune inscription préalable n'est nécessaire, aucune assiduité n'est requise.
Quand vous avez un problème, vous passez nous voir.
Éventuellement stationner parc Danaux (à côté du pont sur la Seine) qui est gratuit le samedi après-midi (3mn à pied après pour aller au CIJ).
C'est tout à côté de la gare.
Rencontres Accès Libre
Certains les appellent «install party», d’autres encore «Soirées Linux», mais les Rencontres Accès Libre sont tout cela en même temps, et bien plus encore…
Entre autres, c'est l’occasion de:
C'est un évènement organisé conjointement par Linux Azur et le SHL.
Commentaires : voir le flux Atom ouvrir dans le navigateur
Les 5 et 6 novembre 2025, Paris Expo Porte de Versailles accueillera DevOps Live Paris, l’un des cinq salons intégrés du Tech Show Paris, un événement européen dédié aux architectures cloud, à la cybersécurité, à la data et aux infrastructures critiques.
L’événement offre :
L’édition 2025 mettra en avant les approches concrètes du DevOps moderne : automatisation, IA, sécurité intégrée, observabilité et culture d’équipe.
Plus de 320 conférences techniques et 275 exposants permettront d’explorer les solutions et retours d’expérience des équipes qui opèrent à l’échelle.
Parmi les conférences phares :
    • FinOps et DevOps : développement piloté par les coûts dans un monde cloud-économique — Émilie-Brenda Naoussi (Air France)
    • DevSecOps sans le buzz : comment industrialiser la sécurité sans ralentir la livraison — Ayoub Houkmi (Vestiaire Collective)
    • Culture DevOps : au-delà de l’architecture, la clé de systèmes innovants et durables — Joël Guimfack (Laboratoire Native SAS)
D’autres sessions aborderont :
    • le DevOps augmenté par l’IA et les agents intelligents,
    • la sécurité des containers (0 CVE dans vos containers : et si c’était possible ?),
    • ou encore la mise en place de plateformes LLMOps pour le développement d’agents conversationnels.
Au-delà des conférences, DevOps Live Paris est aussi un espace de démonstration et d’expérimentation.
Les visiteurs pourront rencontrer des acteurs clés de l’écosystème tels que : Scafe, CBTW, Chainguard, Mindflow, Scalingo ou encore Spectrum Group / Atlassian…
C’est l’occasion d’échanger directement avec les équipes techniques derrière les outils et plateformes qui façonnent la chaîne CI/CD, la sécurité applicative et l’automatisation cloud.
Avec un seul badge, l’accès est ouvert à l’ensemble du Tech Show Paris : Cloud & AI Infrastructure, Cloud & Cyber Security Expo, Data & AI Leaders Summit et Data Centre World.
👉 Inscrivez-vous ici : https://www.techshowparis.fr/linuxfr
Pour les entreprises et projets issus de la communauté souhaitant rejoindre l’événement, une opportunité de stand à tarif préférentiel est proposée, incluant également une visibilité renforcée sur nos réseaux et supports de communication. Il suffit de contacter l’équipe organisatrice pour en bénéficier. Contactez-nous.
NdM: LinuxFr est partenaire média de l’événement. Benoît Sibaud modérera la table ronde du jeudi 6 novembre 10h00 – 10h45 « FinOps et DevOps : Développement piloté par les coûts dans un monde cloud-économique ».

Commentaires : voir le flux Atom ouvrir dans le navigateur
Certains s'en souviennent encore, d'autres sont passés à côté. Certains en ont rêvé, d'autres ont hâte de retrouver les mots croisés! Certains se demandent ce que l'April a fait cette année, d'autres ont d'autres associations à financer…
Le Lama Déchainé revient !
 
À travers neuf éditions hebdomadaires du 15 octobre au 16 décembre, (sauf surprise, manque de temps, perte d'un journaliste, dissolution de l'assemblée nationale, voire démission d'un président).
Vous y retrouverez les éditos, de nouvelles anecdotes, des dessins humoristiques explicites, les mots croisés, les chiffres, de nouveaux logiciels libres. Comme l'année dernière, la plume invitée sera laissée à des personnes amies nous parlant d'elles ou de leurs projets. Toujours plus de trucs à savoir et à partager
De nouvelles rubriques ont également été mises en place, nous avons scindé les citations en deux, la première sera nourrie uniquement de citations de notre site Libre à lire! qui regroupe toutes les transcriptions réalisées (conférences, vidéos, podcasts, car le texte rend plus accessible les médias). Pour la deuxième, les citations seront des extraits des chroniques de notre émission radio Libre à vous! diffusée sur Cause commune et en podcast.
Autre nouvelles rubriques :
Grande nouveauté de cette année : Le Lama Photonique… Ce sera le feuilleton de la fin d'automne, chaque semaine, vous pourrez découvrir comment fabriquer votre propre Lama Photonique en dix étapes !
Tout peut arriver d’une semaine à l’autre alors, pour ne rien rater, suivez avec vigilance les mots clics #LeLamaDéchainé et #CampagneApril2025 sur les réseaux sociaux ou abonnez-vous au flux RSS.
Cette gazette vise à vous présenter l’étendue de nos actions, dans un format plus léger et humoristique que nos lettres d’informations (que nous vous encourageons malgré tout à lire pour vous convaincre de la pertinence de notre travail).
Afin d’assurer la pérennité de nos activités, nous cherchons à récolter une somme de 30 000€ avant le 31 décembre. Si vous pensez que l’April doit continuer à exister dans ce contexte où l’hégémonie des géants du logiciel privateur se fait toujours plus forte, vous pouvez adhérer ou faire un don.
Merci de votre lecture, de vos commentaires, de votre soutien!
Commentaires : voir le flux Atom ouvrir dans le navigateur
La 12ᵉ édition de Kernel Recipes s’est tenue à Paris du 22 au 24 septembre 2025, et comme chaque année, l’événement a rassemblé un bel échantillon de la communauté du noyau Linux : développeurs, mainteneurs, testeurs, contributeurs, et passionnés venus échanger autour du projet du noyau.
Trois jours intenses de présentations, de discussions informelles, de caféine et de partages d’expériences — bref, un cru encore une fois très riche. Les sujets ont couvert un large spectre : du développement des sous-systèmes du noyau à la maintenance, en passant par la sécurité ou la performance. Cette année encore quelques interventions concernant l'impact de BPF et la place grandissante de Rust dans le projet.
Les slides et enregistrements vidéo de toutes les présentations sont désormais en ligne !
Nous tenons à remercier l'ensemble des speakers qui encore une fois ont fait de cette 12e édition une réussite : Maira CANAL, Dorinda BASSEY , Matthew WILCOX, Melissa WEN, Andrea RIGHI, Greg KH, Thomas Schwinge, Thara Gopinath, SJ Park, Roman Gushchin, Leonardo Brás, Song Liu, Julia LAWALL, Boris Brezillon, Thomas Weissschuh, Indu Bhagat, Alice Ryhl, Vlastimil Babka, Lorenzo Stoakes.

Un remerciement tout particulier à Paul McKenney notre parrain cette année qui a fournit un travail énorme pour nous aider à boucler cette édition.
Un grand merci également au talent de Frank Tizzoni qui avec ses dessins est devenu incontournable à la conférence. Merci à Anisse Astier pour son live blog et sa capacité incroyable à retranscrire l'essentiel de cette conférence.
Chapeau bas à Erwan Velu pour ses lancers de micro, ses photos et son aide à l'organisation, et à Jean-Christophe Huwette pour nous permettre de proposer tous les ans un live stream impeccable et des vidéos pour tout le monde.
Enfin un grand merci à nos sponsors sans lesquels nous ne pourrions pas proposer depuis 12 ans cet événement à Paris, un événement qui reste abordable, convivial : Meta, AMD, Libre Computer, Collabora, Haproxy, Igalia, Jumptrading, Linux Foundation, Criteo R&D, Cyberzen, ANSSI, Linux Pratique.
Rendez-vous l'an prochain !
Commentaires : voir le flux Atom ouvrir dans le navigateur
257e émission « Libre à vous ! » de l’April. Podcast et programme :
Rendez‐vous en direct chaque mardi de 15 h 30 à 17 h sur 93,1 MHz en Île‐de‐France. L’émission est diffusée simultanément sur le site Web de la radio Cause Commune. Vous pouvez nous laisser un message sur le répondeur de la radio : pour réagir à l’un des sujets de l’émission, pour partager un témoignage, vos idées, vos suggestions, vos encouragements ou pour nous poser une question. Le numéro du répondeur : +33 9 72 51 55 46.
Commentaires : voir le flux Atom ouvrir dans le navigateur