Vue normale

Reçu aujourd’hui — 21 octobre 2025

La matière noire vient-elle de triompher de son alternative, la théorie Mond ?

Le modèle cosmologique standard postule l'existence de particules encore jamais vues sur Terre par Homo sapiens mais dont la gravitation domine le monde des galaxies et des amas de galaxies. On pourrait peut-être s'en passer en modifiant les lois de la gravité dans le cadre d'une théorie appelée...

Une pluie d’étoiles filantes splendide est à voir ce soir : la comète de Halley en est à l’origine

Quand une comète croise la trajectoire de notre Terre, elle laisse sur son orbite des débris qui finissent en autant de météores dans notre ciel. Ce que l’on appelle communément, des pluies d’étoiles filantes. Et il en est justement une qui arrive à son maximum d’activité.Some very nice meteors...

Free Mobile facilite l’installation de l’eSIM sans QR Code

21 octobre 2025 à 18:36

Free Mobile propose une nouvelle méthode pour installer l’eSIM de votre forfait sur votre téléphone, sans la nécessité de scanner le QR Code comme ce fut le cas jusqu’à présent. Il y a maintenant un bouton sur l’espace client. Un moyen simple d’installer l’eSIM de Free Mobile Chez …

Lire la suite

Aimez KultureGeek sur Facebook, et suivez-nous sur Twitter

N'oubliez pas de télécharger notre Application gratuite iAddict pour iPhone et iPad (lien App Store)


L’article Free Mobile facilite l’installation de l’eSIM sans QR Code est apparu en premier sur KultureGeek.

ChatGPT Atlas : OpenAI annonce son navigateur Web avec IA

21 octobre 2025 à 17:38

OpenAI dévoile ChatGPT Atlas, son navigateur Internet conçu autour de l’intelligence artificielle et de ChatGPT pour apporter l’assistance directement là où vous travaillez sur le Web. L’objectif est de transformer la navigation en un flux d’actions contextualisées, sans copier-coller ni changement d’onglet. C’est aussi un nouveau concurrent de …

Lire la suite

Aimez KultureGeek sur Facebook, et suivez-nous sur Twitter

N'oubliez pas de télécharger notre Application gratuite iAddict pour iPhone et iPad (lien App Store)


L’article ChatGPT Atlas : OpenAI annonce son navigateur Web avec IA est apparu en premier sur KultureGeek.

Malinche : la femme au cœur de la conquête du Mexique qu’on a toujours regardée du mauvais côté

Longtemps considérée comme la traîtresse à l’origine de la chute de l’empire aztèque en 1521, Malinche, traductrice, esclave, amante et diplomate, revient aujourd’hui au cœur du débat historique. Cinq siècles après la conquête du Mexique, un mouvement veut réhabiliter celle que l’on a diabolisée...

Windows 11 : Microsoft déploie une mise à jour en urgence après un bug

21 octobre 2025 à 16:51

Microsoft a été contraint de publier un correctif d’urgence pour Windows 11 afin de résoudre un problème critique introduit par sa dernière mise à jour mensuelle. Déployé en moins d’une semaine, ce patch restaure l’accès à l’environnement de récupération qui était devenu inutilisable avec les souris et claviers …

Lire la suite

Aimez KultureGeek sur Facebook, et suivez-nous sur Twitter

N'oubliez pas de télécharger notre Application gratuite iAddict pour iPhone et iPad (lien App Store)


L’article Windows 11 : Microsoft déploie une mise à jour en urgence après un bug est apparu en premier sur KultureGeek.

HBO Max augmente ses prix aux États-Unis (bientôt en France ?)

21 octobre 2025 à 16:08

Il y a encore une hausse de prix pour le service de streaming HBO Max, au point qu’il s’agit de la troisième en trois ans. Cela concerne pour l’instant les États-Unis, mais il faut s’attendre à ce que le changement des tarifs arrive aussi en France et dans …

Lire la suite

Aimez KultureGeek sur Facebook, et suivez-nous sur Twitter

N'oubliez pas de télécharger notre Application gratuite iAddict pour iPhone et iPad (lien App Store)


L’article HBO Max augmente ses prix aux États-Unis (bientôt en France ?) est apparu en premier sur KultureGeek.

Cette batterie externe Ugreen de 20 000 mAh à -40 % combine puissance, triple port et charge rapide 67 W

21 octobre 2025 à 16:36

La Ugreen Nexode 67W est une batterie externe très pratique qu'il est possible de retrouver à 35,99 euros sur Amazon au lieu de 59,99 euros.
 [Lire la suite]

Abonnez-vous à Frandroid sur Google pour ne manquer aucun article !

Sortie de Cocotb version 2.0.0

21 octobre 2025 à 15:50

Cocotb, le cadriciel libre de vérification matérielle en Python, vient de publier sa version majeure 2.0. Cette sortie marque une étape importante dans l’évolution de ce projet qui permet de tester des circuits numériques décrits en VHDL ou Verilog directement depuis Python, sans avoir à écrire de testbench en HDL.

Pour celles et ceux qui ne connaissent pas encore cocotb, il s’agit d’un outil qui facilite grandement la vie des personnes travaillant sur la conception de circuits intégrés. Plutôt que d’écrire des bancs de test complexes en VHDL ou Verilog, cocotb permet d’utiliser Python et son écosystème riche (NumPy, pytest, etc.) pour vérifier le comportement des circuits.
Cocotb (Coroutines-based Cosimulation Test-Bench) permet d’écrire en python des bancs de test qui vont piloter directement le simulateur HDL via différentes interfaces (VPI, VHPI, FLI). La plupart des simulateurs HDL du marché sont supportés, qu’ils soient libres ou non.

Logo cocotb

Sommaire

Une version majeure synonyme de changements

Comme l’indique le numéro de version, cocotb 2.0 introduit des changements incompatibles avec les versions précédentes. L’équipe de développement a profité de cette version majeure pour nettoyer l’API, supprimer du code obsolète et moderniser l’architecture du projet. Un guide de migration détaillé est disponible pour accompagner la transition.

Principales ruptures de compatibilité

La transition vers cocotb 2.0 nécessite quelques adaptations du code existant :

  • Suppression des coroutines à base de générateurs : La syntaxe yield (avec le décorateur @cocotb.coroutine) a été supprimée. Il faut désormais utiliser exclusivement la syntaxe moderne async/await.

  • Nouvelles conventions de nommage : Les variables d’environnement ont été renommées pour éviter les conflits avec les simulateurs. Par exemple, MODULE devient COCOTB_TEST_MODULES, TOPLEVEL devient COCOTB_TOPLEVEL, etc.

  • Changements dans les types de données : Les objets BinaryValue ont été remplacés par LogicArray, offrant une API plus cohérente et moderne pour manipuler les valeurs logiques.

  • Modifications des déclencheurs : L’objet Join est devenu obsolète au profit d’une utilisation directe des tâches. La syntaxe await task.join() devient simplement await task.

Les nouveautés marquantes

Amélioration des performances

La nouvelle version apporte des gains de performance significatifs, notamment grâce à l’implémentation en C++ d’un générateur d’horloge (GpiClock). Cette optimisation réduit les échanges entre Python et l’interface GPI, permettant des simulations plus rapides, particulièrement pour les designs utilisant de nombreuses horloges.

Prise en charge étendue des simulateurs

Cocotb 2.0 élargit sa prise en charge des simulateurs commerciaux et libres :

  • DSim (Siemens) est maintenant officiellement géré
  • Questa bénéficie d’un nouveau flux de compilation qisqrun utilisant le Questa Information System pour de meilleures performances
  • NVC, le simulateur VHDL libre, est désormais géré
  • Verilator (version 5.036 minimum) avec le flag --timing est maintenant pleinement fonctionnel

Gestion améliorée des tâches

L’API de gestion des tâches a été modernisée pour s’aligner sur celle d’asyncio` :

# Nouvelle fonction pour démarrer une tâche
cocotb.start_soon(ma_coroutine())

# Nouveau déclencheur pour attendre la fin d’une tâche
await task.complete  # au lieu de await Join(task)

# Annulation de tâches
task.cancel()  # au lieu de task.kill()

# Variables locales aux tâches
task.locals.ma_variable = valeur

Nouvelles fonctionnalités pour les signaux

Cocotb 2.0 enrichit les possibilités d’interaction avec les signaux HDL :

  • Dépôts sans délai : La classe Immediate permet d’effectuer des assignations immédiates
  • Nouvelle méthode set() : Une alternative à la propriété value avec un typage plus strict
  • Gestion étendue des actions : Force, Freeze, Release et Deposit pour un contrôle fin des signaux
# Différents types d’assignations
dut.signal.set(42)                    # Assignation normale
dut.signal.set(42, Immediate())       # Assignation immédiate
dut.signal.set(42, Force())           # Forcer une valeur
dut.signal.set(Release())             # Libérer un signal forcé

Améliorations du typage

Cocotb 2.0 intègre maintenant mypy dans son processus de CI, garantissant une meilleure qualité du typage. Les utilisateurs bénéficient ainsi d’une meilleure expérience avec les IDE modernes et les vérificateurs de types.

Décorateur @cocotb.parametrize

Un nouveau décorateur simplifie la création de tests paramétrés, offrant une alternative plus moderne à TestFactory :

@cocotb.parametrize(
    width=[8, 16, 32],
    signed=[True, False]
)
@cocotb.test()
async def test_additionneur(dut, width, signed):
    # Test avec différentes combinaisons de paramètres
    pass

Gestion du logging améliorée

Le système de logging a été revu pour être moins intrusif :

  • Nouvelle variable COCOTB_LOG_PREFIX pour personnaliser le préfixe des logs
  • Séparation des niveaux de log pour GPI avec GPI_LOG_LEVEL
  • Meilleure gestion de la capture des warnings Python
  • Timestamps de simulation accessibles dans les LogRecord

Nouvelles structures de données

La version 2.0 enrichit considérablement le module cocotb.types :

  • LogicArray : Représentation des tableaux de valeurs logiques avec gestion des états X, Z, etc.
  • Logic : Valeur logique unique avec gestion des 9 états VHDL
  • Méthodes de conversion : to_signed(), to_unsigned(), to_bytes(), from_bytes() pour faciliter les conversions
from cocotb.types import LogicArray, Range

# Création d’un tableau logique
data = LogicArray("10XZ01", Range(5, "downto", 0))

# Conversions
valeur_entier = data.to_unsigned(resolve=True)
octets = data.to_bytes()

Améliorations de l’écosystème

Flux de test en Python

Le flux de test Python (Python Test Runner), introduit expérimentalement en version 1.8, est maintenant mature et constitue l’alternative recommandée au système de Makefile traditionnel. Il permet une intégration plus naturelle avec pytest et simplifie la configuration des simulations.

Queues asyncio

Cocotb 2.0 introduit des files d’attente compatibles avec asyncio (Queue, PriorityQueue, LifoQueue), facilitant la communication entre coroutines.

Gestion des packages SystemVerilog

L’accès aux packages SystemVerilog est maintenant possible via cocotb.packages, permettant d’interagir avec les définitions globales du design.

Considérations sur l’adoption

Cette version majeure représente un investissement conséquent de l’équipe de développement. Pour les utilisatrices et utilisateurs existants, la migration nécessitera quelques ajustements, mais les bénéfices en termes de maintenabilité et de performances en valent la peine.

Le projet cocotb, développé principalement par la communauté et utilisé dans l’industrie comme dans l’enseignement, continue de démontrer la pertinence de l’approche Python pour la vérification matérielle. Cette version 2.0 consolide les bases pour les évolutions futures.

Pour les personnes qui débutent avec cocotb, c’est le moment idéal pour se lancer : la documentation a été revue, les exemples mis à jour, et l’API est désormais plus cohérente.

Remerciements et perspectives

Cette version est le fruit du travail de nombreuses personnes contributrices. Le projet est hébergé sur GitHub et accepte volontiers les contributions, qu’il s’agisse de code, de documentation ou de retours d’expérience.

Les prochaines versions devraient continuer à améliorer les performances, étendre la prise en charge des simulateurs et enrichir l’écosystème de bibliothèques de vérification. La communauté cocotb est active et accueillante, n’hésitez pas à la rejoindre !

Commentaires : voir le flux Atom ouvrir dans le navigateur

Après la collision avec un avion Boeing en vol, voilà comment le ballon fautif va changer

21 octobre 2025 à 15:04

avion ballon

WindBorne Systems n'a pas traîné. Alors qu'un de ses ballons météo a été impliqué dans un choc aérien avec un avion Boeing en plein vol, la société a annoncé plusieurs changements, dont certains sont déjà appliqués. D'autres sont en cours d'évaluation... y compris la forme d'un sachet de sable. Explications.

Amazon veut remplacer 600 000 employés par des robots

21 octobre 2025 à 15:00

Des documents internes d’Amazon, révélés par le New York Times, détaillent une stratégie d’automatisation d’une grande ampleur. Le géant du commerce en ligne prévoit d’utiliser des robots pour éviter de recruter plus de 600 000 personnes aux États-Unis d’ici 2033, tout en anticipant un doublement de ses ventes …

Lire la suite

Aimez KultureGeek sur Facebook, et suivez-nous sur Twitter

N'oubliez pas de télécharger notre Application gratuite iAddict pour iPhone et iPad (lien App Store)


L’article Amazon veut remplacer 600 000 employés par des robots est apparu en premier sur KultureGeek.

L’excellent SSD externe Crucial X6 1 To est à -43 % pour stocker toutes vos données entre vos mains

21 octobre 2025 à 15:43

En allant sur PC Componentes, le Crucial X6 1 To est disponible à 69,08 euros contre 122,38 euros sur le site officiel de la marque.
 [Lire la suite]

Tous nos articles sont aussi sur notre profil Google : suivez-nous pour ne rien manquer !

Pour quel smartphone Samsung Galaxy opter en 2025 ?

21 octobre 2025 à 15:09

La firme coréenne propose l'une des gammes de smartphones les plus complètes sur le marché de la téléphonie. Quel est le téléphone Samsung qui est fait pour vous ? Vous trouverez ici les détails de leur catalogue et surtout nos conseils pour choisir le plus adapté.
 [Lire la suite]

Envie de rejoindre une communauté de passionnés ? Notre Discord vous accueille, c’est un lieu d’entraide et de passion autour de la tech.

❌